点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 10010
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
新网互联主机程序元旦版
新网互联主机程序元旦版
所属分类:
专业指导
发布日期:2004-08-20
文件大小:2mb
提供者:
feixiang-ren
全国2009年4月自学考试计算机组成原理试题
一、单项选择题(本大题共20小题,每小题2分,共40分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.若十进制数为40,则其对应的无符号二进制数为( ) A.101000 B.10100 C.100100 D.10010 2.若[X]原=110011,则其对应的[X]补为( ) A.101101 B.101100 C.110011 D.010011 3.若X=-0.1010,则其对应的[X]反为( ) A.1.01
所属分类:
C
发布日期:2009-06-23
文件大小:66kb
提供者:
gzdxacer
FLASH+php WEBGame 45度地图切换 多人游戏社区(源码+fms服务端)开源项目
本引擎QQ交流群:73944013 FLASH+php WEBGame 45度地图切换 多人游戏社区 FLASH人物行走 FLASH人物8方向寻路 在线聊天 (源码+fms服务端)开源项目 游戏截图: http://www.365tt1.com/ads/RGP/001.jpg http://www.365tt1.com/ads/RGP/002.jpg 环境配置:flash+fms2.0+php+mySQL 1:php环境 推荐使用APMServ5.2.0 (一键配置php) 2:fms环境:f
所属分类:
Flash
发布日期:2009-06-29
文件大小:2mb
提供者:
vvvv8888
xp系统语言包东南亚语言包 xp 数字nls文件.
xp系统语言包东南亚语言包 xp 数字nls文件. i386文件夹下的一系列数字命名的nls文件c_037.nls 37 (IBM EBCDIC - 美国/加拿大) c_10000.nls 10000 (MAC - 罗马) c_10001.nls 10001 (MAC - 日文) c_10002.nls 10002 (MAC - 繁体中文 Big5) c_10003.nls 10003 (MAC - 韩文) c_10004.nls 10004 (MAC - 阿拉伯文) c_10005.nls
所属分类:
C
发布日期:2010-03-04
文件大小:1mb
提供者:
baizhan2012
Excel VBA实用技巧大全 附书源码
目录 01001获取Excel版本 01002获取Excel的安装路径 01003获取当前用户名称 01004获取注册组织名称 01005获取当前操作系统的名称和版本号 01006获取启动Excel的路径 01007获取打开Excel文件时的默认路径 01008获取模板保存的默认路径 01009获取库文件夹的路径 01010获取路径分隔符 01011获取Excel主窗口标题栏的名称 01012获取Excel窗口的状态(大小) 01013获取Excel主窗口的高度和宽度 01014获取Excel
所属分类:
VB
发布日期:2010-10-08
文件大小:8mb
提供者:
ILikeDelphi7
UIM 写卡软件 通用版.rar
下载说明 CDMA写卡软件 软件下载地址: http://www.cnmk.net/web/down/cdma.rar CDMA写卡软件使用指南 CDMA写卡设备套装地址: http://www.cnmk.net/web/shop/product.asp?id=549 CDMA写卡步骤: 1、将读写器与电脑连接: CDMA写卡器连接电脑的办法:COM母口连接电脑上的COM公口(数据传输用),USB插入电脑上的USB接口(取电用). 2、打开光盘里的软件目录CDMA_UIM_READ-WRITE
所属分类:
C
发布日期:2010-10-12
文件大小:1mb
提供者:
RAHMA620
AT编程指令与常见问题
WAVECOM AT命令编程必读 2008-04-17 16:20 1.相关的GSM AT指令 与SMS有关的GSM AT指令(from GSM07.05)如表1所示: 表1 相关的GSM AT指令 AT 指令 功 能 AT+CMGC Send an SMS command(发出一条短消息命令) AT+CMGD Delete SMS message(删除SIM卡内存的短消息) AT+CMGF Select SMS message formate(选择短消息信息格式:0-PDU;1-文本) AT
所属分类:
系统集成
发布日期:2011-04-26
文件大小:51kb
提供者:
tntzd
SmartAX MT880d 升级固件
固件版本 3.11.2.151 【看清版本在下在升级】 否则。。。有任何危险 请打一下号码 进行保修 电信 10000 联通 10010 铁通 移动 不知道 跟他们说我猫坏了上不了网了 给我来看看换一个 就可以了
所属分类:
嵌入式
发布日期:2011-07-29
文件大小:467kb
提供者:
huoban
CheckSystemVersion
CheckSystemVersion 用于检测系统版本 ============================== //--------------- O.S string defines --------------------------------------------------------------------------------------- #define OS_UNKNOWN 0 #define OS_WIN95 1 #define OS_WIN98 2 #defin
所属分类:
其它
发布日期:2012-01-17
文件大小:28kb
提供者:
jason_shaobing
EDA课程设计
程序可行library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mudecoder is port(binaryin:in std_logic_vector(1 to 5); --5位二进制码的输入端口 bcdout1:out std_logic_vector(1 to 7); --七段译码器输出端口 bcdout2:out
所属分类:
专业指导
发布日期:2012-06-05
文件大小:14kb
提供者:
huangruip
ID卡读写原理
新卡检测方法:检测上次接收数据的结束位与下次接收数据的起始位(半个周期的低电平 与一个周期的高电平),但是,此时INPORT输入脚电平已变为低电平信号。 之后再连续检测16个半周期电平翻转,到达第9个1的前半周期(高电平), 之后INPORT变为低电平,再通过定时器0来模拟同步时钟,对曼彻斯特码进行解码。 注意:卡号发送顺序:先发校验位,紧接着是最高位、次高位····连发5位数据。 如本卡的卡号是11100 00110 00000 00000 11100 10101 10100 00010 0
所属分类:
C
发布日期:2012-06-28
文件大小:8kb
提供者:
shanyuyong
CDMA_UIM卡读写教程
CDMA_UIM卡读写教程 重要说明 : CDMA 的鉴权 AKEY: 如果此鉴权 AKEY 被当地电信公司关闭 , 则复制完后的 CDMA 卡可以正常拨打接听电话、上网及发送接收短信息 ; 如果此鉴权 AKEY 被当地电信公司开启 , 则复制完后的 CDMA 卡只可以发送接收短信息 ; 拨打 10010 电话 ; 不能正常拨打接听电话、上网。此鉴权 AKEY 是否关闭在电信发行的 CDMA 卡上是不能直接看出来的 . 只有复制完了根据是否能够正常拨打接听电话来进行判断。另外 , 如果在当地电
所属分类:
专业指导
发布日期:2012-07-26
文件大小:251kb
提供者:
ajr8888
工作网站精品
工作网站 精品 仿10010 很强大平
所属分类:
PHP
发布日期:2012-08-09
文件大小:2mb
提供者:
wjwangjiaowj
序列检测器verilog实现
实现10010序列检测功能,用verilog实现,并有状态转移图和仿真结果,同时对比了摩尔和米利型两种电路
所属分类:
硬件开发
发布日期:2012-09-25
文件大小:156kb
提供者:
wangwenxue1989
万发全国综合缴费营业厅系统 11.5
社区便民缴费服务、运营代理商、缴费加盟运营支撑平台的首选系统。 联合缴费系统充分利用网络便利、快捷的优点,为您提供综合缴费、统一管理的应用方案;使用该系统可减少预存话费的积压,更大程度上提高资金的利用率,获得利润。 联合缴费将移动、联通、电信、网通等多家运营商的缴费业务集成,为广大用户提供方便快捷的缴费服务,只需到一个缴费点即可完成手机座机等话费的缴纳。 为各代理商、话费运营商提供的是一个完整的解决方案,提供话费运营支撑平台、提供全程的技术服务。 为广大用户提供的是一个方便、快
所属分类:
电信
发布日期:2012-10-05
文件大小:6mb
提供者:
putongbaixing
检测代码抄袭
代码抄袭 描述 考虑题目较难,同时为了鼓励同学们下去自己练习...我们今天把这题放上... 以前写过的,直接放代码就过了。要是做完又回去自己没做的同学,下次记得做不出的题回去尽量琢磨琢磨。 判断2个代码是抄袭是很必要的,但是,除了人来看,似乎没有什么好得方法…… 一个比较好的方法是比较编译后得二进制文件。 现在就来让你写个程序来比较两个二进制文件得差异度。 输入 第一行包含1个整数T,表示有T组数据。 每组数据包含两行。 第一行包含一个数字N1(<=1000)表示长度,一个空格符,一个长
所属分类:
C
发布日期:2012-10-26
文件大小:635byte
提供者:
yimiheyang
支撑主系统安装程序
全国综合缴费服务、运营代理商、缴费加盟运营支撑平台的首选系统。 综合缴费系统充分利用网络便利、快捷的优点,为您提供综合缴费、统一管理的应用方案;使用该系统可减少预存话费的积压,更大程度上提高资金的利用率,获得利润。 综合缴费将移动、联通、电信、网通等多家运营商的缴费业务集成,为广大用户提供方便快捷的缴费服务,只需到一个缴费点即可完成手机座机等话费的缴纳。 为各代理商、话费运营商提供的是一个完整的解决方案,提供话费运营支撑平台、提供全程的技术服务。 为广大用户提供的是一个方便、快捷的缴费通道,节
所属分类:
其它
发布日期:2012-11-25
文件大小:35mb
提供者:
fushuaide
10010序列检测器
10010的序列检测器,采用有限状态机,同步时序,用vering硬件描述语言写的
所属分类:
硬件开发
发布日期:2014-04-11
文件大小:1kb
提供者:
u014645416
10010序列检测
检测串行输入代码流中10010特定序列的verilog代码
所属分类:
硬件开发
发布日期:2015-06-23
文件大小:1kb
提供者:
qianshuiyiji
联通商城10010.com手机筛选特效
我们可以看到,你的筛选条件都会在下面的方框中显示,不要的你可以,感觉上,人性化许多
所属分类:
其它
发布日期:2020-06-11
文件大小:32kb
提供者:
weixin_38748556
«
1
2
3
»