您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 全速客户跑不了CRM v3.0

  2. 您好, 客户跑不了V3.0版本发布了! 改变: 一点一滴,我们每天都在改善,提供更多体贴的功能 不变: 使用简单,操作简便,功能实用,是我们一直的追求 请通过下面链接下载新版本: http://www.seechance.com/files/EasyCRM.zip 或者,运行旧版本,进行自动升级。 有什么问题,请随时联系我们: 客户跑不了官方网站 http://www.seechance.com/ ============================ 新版本功能说明: * V3.0 - 2
  3. 所属分类:专业指导

    • 发布日期:2009-04-29
    • 文件大小:1035264
    • 提供者:liutianbao
  1. WinXP总管 XP管理工具体

  2. 2006-11-19 17:28 32,768 1-ClickCleaner.exe 2007-01-07 22:35 23,812 Addons.xml 2005-11-15 10:56 57,344 advancedwizard.dll 2006-12-29 22:39 11,264 AppletEngie.dll 2005-11-15 10:56 172,032 AppletProxy.dll 2 006-12-24 01:10 77,824 AppShutdown.exe 2009-0
  3. 所属分类:VB

    • 发布日期:2009-07-09
    • 文件大小:4194304
    • 提供者:snkht
  1. JS框架 V 1.6.4

  2. /* 为XiaoFeng.System添加一方法Scroll [2009-02-18] V 1.4.1 为Array添加一方法Index [2009-04-09] V 1.4.2 为String 添加TrimStart,TrimEnd,Trim,StartsWith,EndsWith函数 [2009-04-09] V 1.4.3 为 Number 添加 toFixed 方法 实现 数字保留小数点后几位 [2009-04-17] V 1.4.4 FileType 获得文件的名称及文件的后缀名 [
  3. 所属分类:Java

    • 发布日期:2009-07-11
    • 文件大小:32768
    • 提供者:xiaofengnet
  1. 上兴远程控制2009.07.01版

  2. 兴远程控制2009版说明: 专业超级远程控制,超强的管理功能,适用于电脑爱好者、家庭、学校、公司、单位远程管理计算机! ------------------------------------------------------------------------------------------ 2009版的特性: 服务端不用释放文件,不用生成DLL文件,无驱动。 服务端不但能插入IE进程穿防火墙,又可选随意插系统目录的文件; 屏幕高速传输,CPU只占用10-30%,网络传输最高达到每秒2
  3. 所属分类:网络攻防

    • 发布日期:2009-07-13
    • 文件大小:3145728
    • 提供者:mqm1314
  1. ASCII对照表(word版)

  2. ESC键: VK_ESCAPE (27) 回车键: VK_RETURN (13) TAB键: VK_TAB (9) Caps Lock键: VK_CAPITAL (20) Shift键:VK_SHIFT (16) Ctrl键: VK_CONTROL (17) 网页版:http://blog.csdn.net/hemingwang0902/archive/2009/07/29/4391634.aspx
  3. 所属分类:其它

    • 发布日期:2009-07-29
    • 文件大小:240640
    • 提供者:hemingwang0902
  1. 最新列车时刻表数据库下载

  2. 完整版列车时刻表Access数据库下载 2009-07-20 by ZhaoQi 该火车时刻表数据从官方获取,包含当前开行的3116个车次的所有数据(车站,票价,里程等),不包含重复的车次,共38087条记录。 本站的时刻表数据库将不断更新,但由于数据量庞大,无法做到每次都及时更新,如需最新数据库请和本人联系。 其中,详细的车次信息如下: C字头城际列车:118个车次 D字头动车组: 484个车次 Z字头直快列车:52个车次 T字头特快列车:289个车次 K字头快速列车:1046个车次 普快列
  3. 所属分类:Access

    • 发布日期:2009-08-09
    • 文件大小:3145728
    • 提供者:tujnguo
  1. SIEMENS最新授权大全_2009

  2. 西门子最新授权大全 2009 ================== 04/04/2009 add keys for WinAC MP 2008, PCS7 v7.1 22/12/2008 add keys for WinAC 2008, Simatic Net 2008, RF-Manager 2008 20/08/2008 add keys for WinCC Flexible 2008 21/07/2008 add keys for WinCC v7.0 29/06/2008 add ke
  3. 所属分类:Web开发

    • 发布日期:2009-08-24
    • 文件大小:6291456
    • 提供者:Herowuking
  1. 我的C++Builder学习笔记

  2. 2008-12-04 22:13 3,118 Builder中使用Access数据库.txt 2009-01-09 23:36 131,577 builder组件继承关系.pdf 2009-07-31 02:52 5,311 Builder聊天.txt 2009-07-31 02:49 1,863 BUilder高效率代码.txt 2009-07-31 02:36 11,941 C++ Build er VCL库函数简介.txt 2008-12-28 21:37 879 DistanceInE
  3. 所属分类:C++

    • 发布日期:2009-09-11
    • 文件大小:564224
    • 提供者:haiandj
  1. HP-UX 系统管理员指南合集

  2. HP-UX11v3 系统管理 指南 简体中文 使用手册 打包压缩 HP-UX11v3 DOC 的目录 2010-01-22 11:21 1,026,811 418810-AA4.pdf 2009-03-20 15:10 2,115,171 438862-KA2HP System Management Homepage 安装指南(2009 年 3 月).pdf 2010-01-22 11:16 2,162,012 5187-2211.pdf 2008-06-10 23:20 2,461,202
  3. 所属分类:专业指导

    • 发布日期:2010-01-22
    • 文件大小:20971520
    • 提供者:zglcl008
  1. HP-UX 系统管理员指南合集下

  2. HP-UX11v3 系统管理 指南 简体中文 使用手册 打包压缩 HP-UX11v3 DOC 的目录 2010-01-22 11:21 1,026,811 418810-AA4.pdf 2009-03-20 15:10 2,115,171 438862-KA2HP System Management Homepage 安装指南(2009 年 3 月).pdf 2010-01-22 11:16 2,162,012 5187-2211.pdf 2008-06-10 23:20 2,461,202
  3. 所属分类:专业指导

    • 发布日期:2010-01-22
    • 文件大小:16777216
    • 提供者:zglcl008
  1. ExtAspNet v2.2.1 (2009-4-1) 值得一看

  2. ExtAspNet v2.2.1 ExtAspNet是一组专业的Asp.net控件库,拥有原生的AJAX支持和丰富的UI效果, 目标是创建没有Javascr ipt,没有CSS,没有UpdatePanel,没有WebServices的Web应用程序。 支持的浏览器: IE 7.0+, Firefox 3.0+, Chrome 2.0+, Opera 9.5+, Safari 3.0+ 注:ExtAspNet基于一些开源的程序ExtJS, HtmlAgilityPack, Nii.JSON, Y
  3. 所属分类:C#

    • 发布日期:2010-04-01
    • 文件大小:8388608
    • 提供者:super_zhaowenke
  1. System Repair Engineer2.8.2.1321 版本

  2. 2.8.2.1321 发布日期:2009/12/30 * System Repair Engineer 有数字签名了 * 使用第三代数字签名检测技术,更精确,更快捷 * 优化程序大小,减小体积400KB * 改善升级流程,自动增量升级更好用了 * 完善内置的异常检测机制 2.8.1.1279 发布日期:2009/8/26 * 增加Windows 安全更新扫描功能 * 增加Windows Vista SP2 / Windows 7 支持 2.7.1.1261 发布日期:2009/3/20 * 增
  3. 所属分类:网络攻防

    • 发布日期:2010-06-27
    • 文件大小:685056
    • 提供者:yzdjzjt
  1. jython各个版本下载地址

  2. jython各个版本下载地址 版本列表 --------------------------------------------- 2.2/ 19-Oct-2009 07:57 jython-2.2-sources.jar 24-Aug-2007 10:23 682810 jython-2.2-sources.jar.md5 24-Aug-2007 10:23 128 jython-2.2-sources.jar.sha1 24-Aug-2007 10:23 136 jython-2.2.ja
  3. 所属分类:Java

    • 发布日期:2010-07-16
    • 文件大小:1024
    • 提供者:tiney
  1. 商用版本文本编辑器DotNetTextBoxV6.0.8Source 源码

  2. Updates: 1)增加防止COOKIE欺骗攻击的加密KEY属性设置,编辑器用到的COOKIE都将会进行加密。 2)更新编辑器帮助文件。 3)文字水印调整为只添加到图像宽高>100像素的图片上面,并且调整编辑器默认配置添加的是图片水印! 2010/04/02 Version 6.1.6 For VS2005/2008 Updates: 1)针对IIS6.0文件解析高危漏洞进行修正。 2)上传功能的一些优化。 3)文件夹重命名的一些改进。 2010/03/08 Version 6.1.5
  3. 所属分类:Web开发

    • 发布日期:2010-08-05
    • 文件大小:3145728
    • 提供者:zhu885928
  1. ExtAspNet_v2.3.2_dll

  2. ExtAspNet - ExtJS based ASP.NET Controls with Full AJAX Support ExtAspNet是一组专业的Asp.net控件库,拥有原生的AJAX支持和丰富的UI效果, 目标是创建没有ViewState,没有Javascr ipt,没有CSS,没有UpdatePanel,没有WebServices的Web应用程序。 支持的浏览器: IE 7.0+, Firefox 3.0+, Chrome 2.0+, Opera 9.5+, Safari 3
  3. 所属分类:C#

    • 发布日期:2010-09-29
    • 文件大小:2097152
    • 提供者:wode2600
  1. opensc-0.12.0.tar.gz

  2. NEWS for OpenSC -- History of user visible changes Complete change history is available online: http://www.opensc-project.org/opensc/timeline New in 0.12.0; 2010-12-22 * OpenSC uses a single reader driver, specified at compile time. * New card drive
  3. 所属分类:Web开发

    • 发布日期:2011-01-24
    • 文件大小:1048576
    • 提供者:lee_ashu
  1. 人力资源管理软件(完全免费)

  2. 人力资源管理软件功能介绍 本人力资源软件包含人事档案管理 本人力资源软件包含工资管理 本人力资源软件包含考勤管理 本人力资源软件包含绩效管理 本人力资源软件包含用户管理 本人力资源软件软件界面美观,使用方便 人力资源管理软件特色介绍 本人力资源软件包含支持单机、网络,可以通过互联网安全接入企业内网,无需域名或固定IP 用户资料可定制扩展,界面可定义,适应性强 大量的统计分析报表,便于掌握业务情况 本人力资源软件包含提供了自定义报表功能 内置解析器引擎,支持复杂灵活的薪酬制度 本人力资源软件包含
  3. 所属分类:Web开发

    • 发布日期:2011-03-08
    • 文件大小:8388608
    • 提供者:xuwansheng
  1. 暗夜 WEB IM 20110326(数据库字段有详细说明)

  2. 暗夜 WEB IM 20110326 数据库字段有详细说明 鱼鱼 WEB IM 20090818 本程序版权归暗夜qq240766341、310880211所有, 此程序非经暗夜许可禁止用于商业用途, 作者保留该程序名称、源码等相关权利] [----------------------------------------------暗夜代码、网站等版权声明--------------------------------------------------------------] 暗夜网站、暗
  3. 所属分类:Web开发

    • 发布日期:2011-07-07
    • 文件大小:1048576
    • 提供者:fishofsun
  1. 冠格空间系统(GoAgo Space System) v4.1 Beta1.rar

  2. 冠格空间系统(GoAgo Space System)是由福州冠格软件独立开发的社区类B/S软件,软件具体的功能更新如下: 冠格空间系统(GoAgo Space System) V4.1 Beta1 (Sql Server 2005) 2009-07-20 1.去除Asp.Net Membership用户框架 2.解决部分用户安装时,出现数据库错误提示 3.解决了其他的一些Bug
  3. 所属分类:其它

    • 发布日期:2019-07-09
    • 文件大小:13631488
    • 提供者:weixin_39841856
  1. 645-07-97测试软件.zip

  2. 国标DTL645-2007,通信规约测试软件 ,电表调试软件,常用的表号修改,时间校对,电量,事件抄读,规约标示查询等, --11:51 2008-09-25 软件更新说明 1、2008年9月25日 V1.0.2 增加设备地址读写功能。 2、2008年12月17日 V1.0.3 加大直抄延时到10秒,每级中继延时10秒。 3、2009年1月6日 V1.0.4 增加广播相位检测功能。修复通信速率转换Bug。 4、2009年3月2日 V1.0.7 增加电表自动测试功能。 5、2009
  3. 所属分类:制造

    • 发布日期:2020-01-07
    • 文件大小:1048576
    • 提供者:u014771868
« 12 3 4 5 6 »