您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多功能时钟 VHDL 源代码

  2. (1)用VHDL语言编写程序,在EDA实验板上实现 (2)能正常计时。显示模式分为两种,即24小时制和12小时制。其中12小时制须显示上,下午(用指示灯显示)。时,分,秒都要显示。 (3). 手动校准电路。用一个功能选择按钮选择较时,分功能,用另一个按钮调校对应的时和分的数值。 用VHDL语言编写程序,在EDA实验板上实现 (4) 整点报时。 (5). 闹钟功能。 (6).秒表功能。
  3. 所属分类:其它

    • 发布日期:2009-04-30
    • 文件大小:4096
    • 提供者:tanhaijun2007
  1. 12/24小时数字时钟设计

  2. 基于VHDL语言的12、24小时数字时钟设计,完整的程序,下载即可用。
  3. 所属分类:专业指导

    • 发布日期:2010-03-10
    • 文件大小:2097152
    • 提供者:baiyun19880902
  1. 单片机 24小时时钟可调程序

  2. 单片机 24小时时钟可调程序 #include unsigned int num,shi,fen,miao,con; //定义变量 sbit dula=P2^6; sbit wela=P2^7; sbit key1=P3^4; sbit key2=P3^5; #define a unsigned char #define b unsigned char //定义变量 a code table1[]={ //定义段选择值
  3. 所属分类:硬件开发

    • 发布日期:2010-04-02
    • 文件大小:3072
    • 提供者:lwk98
  1. protues仿真24小时时钟

  2. protues仿真24小时时钟 可以调节小时 分钟秒
  3. 所属分类:嵌入式

    • 发布日期:2010-10-14
    • 文件大小:36864
    • 提供者:sinxcosytanz
  1. VHDL源程序24小时时钟(附:FPGA 最基础 最经典的讲解)

  2. 这是我初学VHDL写的一个简单的LED24小时时钟程序,不过没有按键可调,按键消抖写了好久,没写出来,希望有高手能加入按键部分!
  3. 所属分类:嵌入式

    • 发布日期:2011-05-22
    • 文件大小:164864
    • 提供者:le_xin_chen
  1. CD4518时钟24小时时钟

  2. CD4518时钟组成的时钟 可以进行清零等功能
  3. 所属分类:专业指导

    • 发布日期:2011-08-06
    • 文件大小:47104
    • 提供者:yqw_love
  1. 24小时可调时钟显示程序

  2. 24小时可调时钟显示程序 在代码中有一些使用技巧。
  3. 所属分类:C

    • 发布日期:2012-03-29
    • 文件大小:3072
    • 提供者:ls7575975
  1. 时钟在24小时内重合问题

  2. 在一天的24小时之中,时钟的时针、分针和秒针完全重合在一起的时候有几次?都分别是什么时间?你怎样算出来的?
  3. 所属分类:C++

    • 发布日期:2012-04-05
    • 文件大小:528
    • 提供者:janiyr1
  1. 24小时时钟显示实验

  2. 24小时时钟显示实验,数码管 573 51单片机 proteus仿真
  3. 所属分类:C

    • 发布日期:2012-04-10
    • 文件大小:79872
    • 提供者:xuyuefei1988
  1. 一个思路独特的时钟程序(C)

  2. 这个24小时时钟程序思路独特,代码很短,尤其是时间进位部分,好好看看吧,对你的编程思路一定有帮助。我很佩服写出这段程序的人,人才啊!
  3. 所属分类:C

    • 发布日期:2012-05-10
    • 文件大小:1024
    • 提供者:shendumimang
  1. 一个思路挺好的时钟程序

  2. 这是一个单片机24小时时钟程序,作者思路很独特,代码很短,重点在时间的进位上,好好看看吧,绝对值得学习学习,看懂之后对自己也会有所启发!
  3. 所属分类:C

    • 发布日期:2012-05-10
    • 文件大小:1024
    • 提供者:nmlgbab
  1. 24小时时钟VHDL语言

  2. 24小时时钟 VHDL语言 EDA技术 模块化 例化程序 提供硬件接口
  3. 所属分类:其它

    • 发布日期:2013-01-15
    • 文件大小:446464
    • 提供者:haorenzdx
  1. 12/24小时时钟

  2. 用VHDL语言设计的一个能显示 12/24 小时计时与报时等功能的时钟。
  3. 所属分类:硬件开发

    • 发布日期:2013-04-15
    • 文件大小:2097152
    • 提供者:wting990723
  1. mutisim仿真时钟电路图

  2. 使用mutisim仿真24小时时钟 1.时分秒的显示 2.整点报时四低一高 3.闹钟 4.手动校时 5.整体复位
  3. 所属分类:软件测试

    • 发布日期:2013-07-07
    • 文件大小:824320
    • 提供者:u011333019
  1. hot51开发板24小时时钟

  2. 写的hot51增强型开发板24小时时钟C语言程序,技术不行但可以实现功能
  3. 所属分类:C/C++

    • 发布日期:2014-10-12
    • 文件大小:2048
    • 提供者:anrui12
  1. WPF 天干地支时钟表盘 可以设置24小时制纯属瞎搞 加天气预报

  2. WPF 天干地支时钟表盘 可以设置24小时制纯属瞎搞 加天气预报 预览可以看这里 https://blog.csdn.net/shishuwei111/article/details/81384910
  3. 所属分类:C#

    • 发布日期:2018-08-03
    • 文件大小:10485760
    • 提供者:shishuwei111
  1. 基于verilog实现12/24 小时计时与报时等功能的时钟

  2. 设计一个能显示 12/24 小时计时与报时等功能的时钟。 基本设计要求: (1)设计一个 12/24 小时制数时钟; (2)利用板上数码管显示时、分、秒; (3)利用板上按键实现时钟调整; (4)按下时调整键,“时”迅速增加,并按 24/12 小时制(0-23 或 0-11)规律循环; (5)按下分调整键,“分”迅速增加,并按 60 分制(0-59)规律循环;(6)按下秒清零键,“秒”清零; (7)能利用音频接口作整点报时,从 59 分 55 秒开始报时,每隔一秒报时一次;00/0
  3. 所属分类:其它

    • 发布日期:2020-02-16
    • 文件大小:8388608
    • 提供者:weixin_42422806
  1. 在FPGA上使用SystemVerilog实现12小时制时钟(可实现24小时制)

  2. 实现了一个时钟计数器。h、min、sec和pm的输出为12小时制,AM时,pm输出为0,PM时,pm输出为1。load和init_*等信号用于加载时钟状态。12小时制显式时,小时的范围为1~12。
  3. 所属分类:硬件开发

    • 发布日期:2020-06-16
    • 文件大小:68608
    • 提供者:yinyeyy
  1. 24小时制,表盘显示

  2. 该软件是使用qt开发,软件功能为圆形时钟表盘,该表盘为时针,分针,秒针组合,没任何图片,或者其他资源,有纯代码编写
  3. 所属分类:其它

    • 发布日期:2020-09-07
    • 文件大小:46080
    • 提供者:weixin_41349971
  1. nim-binary:使用SDL2,BCD和完全二进制模式的Nim中的24小时二进制时钟-源码

  2. nim-binary:使用SDL2,BCD和完全二进制模式的Nim中的24小时二进制时钟
  3. 所属分类:其它

    • 发布日期:2021-02-02
    • 文件大小:8192
    • 提供者:weixin_42150745
« 12 3 4 5 6 7 8 9 10 ... 17 »