您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 用ewb设计的篮球24秒计时

  2. 运用几个计数器,七段数码管,555电路构成的简单的数字电路,可以实现24秒倒计时
  3. 所属分类:专业指导

    • 发布日期:2009-06-02
    • 文件大小:29696
    • 提供者:ly376314022
  1. 篮球24秒计时器课程设计

  2. 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号,在社会生活中也具有广泛的应用价值。   此计时器的设计主要由以下4个部分组成,即计时、控制、报警以及译码显示。此电路是一时钟产生,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。
  3. 所属分类:专业指导

    • 发布日期:2009-07-06
    • 文件大小:406528
    • 提供者:mjs292079341
  1. 24秒倒计时汇编程序

  2. 24秒倒计时汇编程序 计时器、串行显示子程序
  3. 所属分类:硬件开发

    • 发布日期:2009-08-01
    • 文件大小:3072
    • 提供者:zuiyejin
  1. 课程设计 篮球竞赛24秒 12分倒计时

  2. 大学期末课程设计,篮球竞赛 24秒12分倒计时
  3. 所属分类:专业指导

    • 发布日期:2009-12-24
    • 文件大小:205824
    • 提供者:fangyu20
  1. 单片机24秒倒计时C程序

  2. 篮球赛中我们常常用到24秒倒计时,最近在自己的单片机实验板上设计了这个程序,拿出来共享一下,原创……
  3. 所属分类:硬件开发

    • 发布日期:2010-01-08
    • 文件大小:1024
    • 提供者:quxp0718
  1. 24秒倒计时电路及PCB

  2. 用74191设计篮球进攻24秒倒计数钟,用数码管显示,需要有暂停键
  3. 所属分类:专业指导

    • 发布日期:2010-04-02
    • 文件大小:318464
    • 提供者:liu20064496
  1. 篮球比赛24秒倒计时电路的制作

  2. 看看吧,这是篮球比赛24秒倒计时电路的设计与制作哦.
  3. 所属分类:专业指导

    • 发布日期:2010-05-07
    • 文件大小:38912
    • 提供者:xinghui008
  1. 篮球倒计时12分设计 倒计时设计 篮球比赛24秒

  2. 篮球倒计时12分设计 倒计时设计 篮球比赛24秒 篮球倒计时12分设计 倒计时设计 篮球比赛24秒
  3. 所属分类:专业指导

    • 发布日期:2010-11-16
    • 文件大小:2097152
    • 提供者:j610152753
  1. Protel课程设计-篮球竞赛24秒定时电路

  2. 摘要 I Abstract II 1 Protel 介绍 1 2 新建Protel文件 2 3.1 篮球竞赛24秒定时电路基本原理 5 3.2 添加库元件放置元件设置元件属性 5 3.3 脉冲发生器原理图的绘制 8 3.4 数码管显示电路原理图的绘制 9 3.5 计时器电路的绘制 10 3.6整体电路图 11 3.7电气检查和生成网络表格 12 4 Protel制作PCB图 13 4.1 导入网络表格 13 4.2 画边框元件布局布线 14 5 电路仿真 18 5.1 绘制原理图 18 5.2
  3. 所属分类:嵌入式

    • 发布日期:2010-12-27
    • 文件大小:676864
    • 提供者:ylatzw
  1. 用protus 24秒计数器

  2. 应用PROTUS 编写的24秒计数器,其中的主要就是对程序的理解和应用
  3. 所属分类:其它

    • 发布日期:2011-01-07
    • 文件大小:495
    • 提供者:wanshimeimei
  1. 24秒倒计时器的设计与仿真

  2. 24秒倒计时器的设计与仿真,这里很详细的将设计步骤和设计器材列了出来
  3. 所属分类:电信

    • 发布日期:2011-06-12
    • 文件大小:362496
    • 提供者:a328732744
  1. 24秒籃球計數

  2. 24秒計數器 用于計數等等的場合。
  3. 所属分类:数据库

    • 发布日期:2013-01-03
    • 文件大小:145408
    • 提供者:euros7
  1. 24秒倒计时设计总结

  2. 24秒倒计时设计总结,用74ls190做24秒倒计时设计
  3. 所属分类:其它

    • 发布日期:2013-05-07
    • 文件大小:90112
    • 提供者:u010602598
  1. 24秒倒计时的vhdl程序,采用Max plus II

  2. 24秒倒计时的vhdl程序,采用Max plus II
  3. 所属分类:硬件开发

    • 发布日期:2013-06-13
    • 文件大小:406528
    • 提供者:kbk9309
  1. 24秒倒计时篮球计数器设计(protues软件仿真

  2. 24秒倒计时篮球计数器设计(protues软件仿真
  3. 所属分类:专业指导

    • 发布日期:2014-11-13
    • 文件大小:55296
    • 提供者:helongfu
  1. 24秒倒计时protues仿真图

  2. 24秒倒计时protues仿真图
  3. 所属分类:硬件开发

    • 发布日期:2017-06-25
    • 文件大小:21504
    • 提供者:sanshaoye1991
  1. 数子电子技术-verilog语言实现篮球24秒计时器

  2. 该文档主要是用verilog语言实现篮球24秒计时器,这是我做的数字电子技术课程的一次大作业。 里面为整个文件夹,解压之后可在Quartus13.0上直接运行。
  3. 所属分类:其它

    • 发布日期:2019-10-05
    • 文件大小:5242880
    • 提供者:weixin_45577301
  1. 24秒倒计时.png

  2. 24秒倒计时的PCB电路图。\也可洞洞板、基本功能可以达到
  3. 所属分类:电信

    • 发布日期:2020-05-29
    • 文件大小:119808
    • 提供者:asdvbss
  1. 数电设计 篮球比赛24秒计时器---proteus

  2. 一、设计内容和要求 (1)具有24秒计时功能。 (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 (3)在直接清零时,要求数码显示器灭灯。 (4)计时器为24秒递减时, 计时间隔为1秒。 (5)计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。
  3. 所属分类:交通

    • 发布日期:2020-07-01
    • 文件大小:79872
    • 提供者:qq_21499251
  1. 24秒倒计时器.pdsprj

  2. 在Proteus8仿真平台上,设计一个篮球比赛用的24秒倒计时器,可实现倒计时功能以及在倒计时时暂停功能。使用8253提供定时中断信号。用8259控制中断请求,8255连接2位LED。
  3. 所属分类:专业指导

    • 发布日期:2020-07-19
    • 文件大小:31744
    • 提供者:weixin_45974691
« 12 3 4 5 6 7 8 9 10 ... 50 »