您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL编写的24进制时钟

  2. 用vhdl编写的24进制时钟,能数码管显示时分秒。
  3. 所属分类:C/C++

    • 发布日期:2009-09-07
    • 文件大小:71680
    • 提供者:cpjnear
  1. 24进制计数器 时钟电路

  2. 24进制计数器 Proteus仿真 用7.4以上版本打开
  3. 所属分类:嵌入式

    • 发布日期:2010-01-06
    • 文件大小:80896
    • 提供者:fc7758258
  1. 24进制计数器 课程设计 武汉理工大学

  2. 24进制计数器 课程设计 武汉理工大学 有用得到的哦
  3. 所属分类:专业指导

    • 发布日期:2010-05-26
    • 文件大小:133120
    • 提供者:tracylover
  1. 24进制计数器(基于VHDL语言)

  2. 24进制计数器(基于VHDL语言)用VHDL语言书写
  3. 所属分类:C/C++

    • 发布日期:2010-06-04
    • 文件大小:144384
    • 提供者:swp0314
  1. 60进制和24进制计数器基于VHDL语言编写

  2. 60进制和24进制计数器基于VHDL语言编写 60进制和24进制计数器基于VHDL语言编写
  3. 所属分类:C/C++

    • 发布日期:2010-06-04
    • 文件大小:234496
    • 提供者:swp0314
  1. 简易数字钟实验报告(含12~24进制转换扩展)

  2. 基于FGPA的数字模拟设计,设计要求制作一个简易数字钟,正点会鸣响报时。扩展功能要求可以12~24进制间转换。
  3. 所属分类:专业指导

    • 发布日期:2010-09-28
    • 文件大小:332800
    • 提供者:lifengsss
  1. VHDL数字24进制计数器

  2. VHDL数字24进制计数器 VHDL数字24进制计数器
  3. 所属分类:专业指导

    • 发布日期:2011-05-16
    • 文件大小:45056
    • 提供者:yar494
  1. 数字时钟24进制含555.74ls90电路图

  2. 数字时钟24进制含555.74ls90电路图
  3. 所属分类:其它

    • 发布日期:2011-07-03
    • 文件大小:243712
    • 提供者:llj_119
  1. 60,24进制VERILOG代码

  2. 60进制VERILOG代码,*********************
  3. 所属分类:硬件开发

    • 发布日期:2011-08-24
    • 文件大小:568
    • 提供者:zhouzhou312
  1. 24进制VERILOG代码

  2. 24进制VERILOG代码**************************************
  3. 所属分类:硬件开发

    • 发布日期:2011-08-24
    • 文件大小:501
    • 提供者:zhouzhou312
  1. 用74ls161做的24进制计数器

  2. 用74ls161做的24进制计数器,可以看看,免费的,用七段数码管做的
  3. 所属分类:其它

    • 发布日期:2011-12-15
    • 文件大小:96256
    • 提供者:meishuzhou
  1. 24进制的电子钟

  2. 能正常仿真24进制的转换,确实可行,可以用555芯片驱动或者方波发生器驱动
  3. 所属分类:其它

    • 发布日期:2011-12-27
    • 文件大小:15360
    • 提供者:youyuniao
  1. 24进制/60进制计时器设计

  2. 24进制/60进制计时器设计,EDA 基于VHDL硬件描述语言设计
  3. 所属分类:IT管理

    • 发布日期:2012-04-13
    • 文件大小:300032
    • 提供者:vention1
  1. 秒表设计 外部中断 12进制 24进制切换

  2. 运行正确!单片机汇编语言 数字钟设计 带外部中断 12 24进制切换
  3. 所属分类:其它

    • 发布日期:2012-05-29
    • 文件大小:322560
    • 提供者:tiffany0510
  1. 十六进制加法器课程设计报告

  2. 1) 设计一带6位数码管显示和24个按键的单片机系统。2) 对24个按键进行定义,使其中16个键与0-F共16个十六进制数相对应。另外几个键定义为加、减运算符和等于按键。3) 编程实现计算器的功能。其中加数和被加数最大都为5位十六进制数。
  3. 所属分类:硬件开发

    • 发布日期:2008-07-11
    • 文件大小:358400
    • 提供者:JKL651
  1. EDA24进制实现

  2. 采用verilog文本输入法和原理图法,实现24进制。可下载到硬件上实现
  3. 所属分类:硬件开发

    • 发布日期:2013-12-25
    • 文件大小:821248
    • 提供者:chumengdd
  1. 24进制 数电程序

  2. 24进制 数电程序 源代码
  3. 所属分类:电子政务

    • 发布日期:2014-03-11
    • 文件大小:141312
    • 提供者:shanyu312
  1. EWB 电子时钟 60进制分(秒)记数 器 24进制 时记数器

  2. EWB 电子时钟 60进制分(秒)记数 器 24进制 时记数器EWB 电子时钟 60进制分(秒)记数 器 24进制 时记数器EWB 电子时钟 60进制分(秒)记数 器 24进制 时记数器EWB 电子时钟 60进制分(秒)记数 器 24进制 时记数器EWB 电子时钟 60进制分(秒)记数 器 24进制 时记数器EWB 电子时钟 60进制分(秒)记数 器 24进制 时记数器
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:24576
    • 提供者:shuyu08
  1. Verilog自顶向下设计24进制计数器(FPGA)

  2. 使用Verilog自顶向下设计24进制计数器(例子为1Hz,可修改频率),并用数码管动态显示,已在Basys2开发板验证通过。
  3. 所属分类:硬件开发

    • 发布日期:2018-04-25
    • 文件大小:233472
    • 提供者:weixin_39603637
  1. 二十四进制计数器.zip

  2. 这是我们数电实验题目: 使用74ls160和74ls161设计24进制计数器即: 用一片 74LS160 同步十进制计数器和一片 74LS161 同步二进制计数器,连接成二十四 进制的计时电路。输入信号为数字脉冲信号。
  3. 所属分类:其它

    • 发布日期:2020-07-03
    • 文件大小:122880
    • 提供者:qq_43482287
« 12 3 4 5 6 7 8 9 10 ... 30 »