您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等……

  2. 计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等代码
  3. 所属分类:专业指导

    • 发布日期:2009-05-11
    • 文件大小:574464
    • 提供者:yanglong196
  1. VHDL实现3-8译码器

  2. 此工程实现了3-8译码器的编译与封装!编译的过程中未出现错误和警告,欢迎使用!
  3. 所属分类:专业指导

    • 发布日期:2010-12-02
    • 文件大小:90112
    • 提供者:goodboy0801
  1. 3-8译码器verilog 代码

  2. eda入门级设计,本实例是3-8译码器verilog 代码,可综合!
  3. 所属分类:硬件开发

    • 发布日期:2011-03-15
    • 文件大小:81920
    • 提供者:tele114
  1. Verilog编写的入门级3-8译码器设计与实现.

  2. Verilog编写的入门级3-8译码器设计与实现。适合有需要理解译码器的哥们
  3. 所属分类:硬件开发

    • 发布日期:2011-03-28
    • 文件大小:174080
    • 提供者:pengli1575
  1. vhdl 4位加法器和3-8译码器

  2. vhdl 4位加法器和3-8译码器 合成及
  3. 所属分类:硬件开发

    • 发布日期:2011-11-09
    • 文件大小:563200
    • 提供者:mumataotao
  1. verilog 3—8译码器

  2. verilog 编写的 3—8译码器程序代码
  3. 所属分类:硬件开发

    • 发布日期:2011-11-28
    • 文件大小:1024
    • 提供者:bbwl123
  1. 3/8译码器芯片资料

  2. 3/8译码器,译码器是将输入的具有特定含义的二进制代码翻译成输出信号的不同组合,实现电路控制功能的逻辑电路
  3. 所属分类:专业指导

    • 发布日期:2012-03-21
    • 文件大小:74752
    • 提供者:weidayesyes
  1. 3-8译码器verilog程序

  2. 介绍3-8译码器的xilinx ise编译过程及verilog代码
  3. 所属分类:硬件开发

    • 发布日期:2012-07-23
    • 文件大小:29696
    • 提供者:yehu566
  1. 3-8译码器

  2. 3-8译码器
  3. 所属分类:嵌入式

    • 发布日期:2013-07-25
    • 文件大小:575
    • 提供者:gt86095296
  1. 3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学

  2. 3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
  3. 所属分类:专业指导

    • 发布日期:2014-03-08
    • 文件大小:185344
    • 提供者:wangchenmin_
  1. XLinux ISE basys2 3-8译码器源码 FPGA

  2. 基于XLinux LSE 在 basys2 开发板上实现的3-8译码器的源码,适合新手了解,找这个的人一般都了解的,我就不多说了。本人在开发板上已经通过,真值表也验证过了,烧入后直接可以使用。最终解释权归个人所有。
  3. 所属分类:硬件开发

    • 发布日期:2014-11-15
    • 文件大小:100352
    • 提供者:abc582915847
  1. 单片机74LS138(3-8译码器)中文资料.pdf

  2. 单片机74LS138(3-8译码器)的中文资料.pdf 74系列
  3. 所属分类:硬件开发

    • 发布日期:2008-11-11
    • 文件大小:245760
    • 提供者:kevyoung
  1. verilog实现通过拨码开关控制数码管显示,模仿3-8译码器

  2. 用verilog模拟3-8译码器实现拨码开关控制控制数码管显示
  3. 所属分类:硬件开发

    • 发布日期:2017-05-26
    • 文件大小:282624
    • 提供者:qq_36402402
  1. VHDL语言实现3-8译码器

  2. 用VHDL语言实现3-8译码器,在不同情况下进行不同译码
  3. 所属分类:其它

    • 发布日期:2009-01-02
    • 文件大小:131072
    • 提供者:yangaichao
  1. Verilog设计3-8译码器、8位全加器、四分之一分频器.zip

  2. 集成电路作业,Verilog设计3-8译码器、8位全加器、四分之一分频器(时钟周期clk=50ns),内含相对应的测试代码。
  3. 所属分类:C/C++

    • 发布日期:2020-06-16
    • 文件大小:4096
    • 提供者:llory
  1. 玩转Altera FPGA:3-8译码器实验

  2. 本文主要讲了3-8译码器实验,下面一起来学习一下
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:68608
    • 提供者:weixin_38667835
  1. 实验三--3—8译码器.doc

  2. Multisim数字电路实验:实验三--3—8译码器,实验内容丰富规范,适合教师指导教学,希望对各位有用---
  3. 所属分类:教育

    • 发布日期:2020-11-09
    • 文件大小:388096
    • 提供者:luohanjun2008
  1. 74ls138 3-8译码器(中文).pdf

  2. 74ls138 3-8译码器(中文).pdf
  3. 所属分类:硬件开发

    • 发布日期:2020-12-25
    • 文件大小:1048576
    • 提供者:qq_39734641
  1. 新型基于量子元胞自动机的3-8译码器

  2. 新型基于量子元胞自动机的3-8译码器
  3. 所属分类:其它

    • 发布日期:2021-03-10
    • 文件大小:1048576
    • 提供者:weixin_38661852
  1. 实验1:3-8译码器(拓展4-16进制译码器).docx

  2. EDA技术及应用课程相关实验:3-8译码器及4-16译码器
  3. 所属分类:其它

    • 发布日期:2021-02-27
    • 文件大小:890880
    • 提供者:barelank
« 12 3 4 5 6 7 8 9 10 ... 20 »