您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL语言编写的4选一状态机

  2. 用VHDL语言编写的4选一控制器,已通过仿真验证,并附波形图。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:3kb
    • 提供者:qq625635824
  1. TCP_IP协议详解卷一

  2. 目 录 译者序 前言 第1章 概述 1 1.1 引言 1 1.2 分层 1 1.3 TCP/IP的分层 4 1.4 互联网的地址 5 1.5 域名系统 6 1.6 封装 6 1.7 分用 8 1.8 客户-服务器模型 8 1.9 端口号 9 1.10 标准化过程 10 1.11 RFC 10 1.12 标准的简单服务 11 1.13 互联网 12 1.14 实现 12 1.15 应用编程接口 12 1.16 测试网络 13 1.17 小结 13 第2章 链路层 15 2.1 引言 15 2.2
  3. 所属分类:FTP

    • 发布日期:2010-01-07
    • 文件大小:11mb
    • 提供者:daigaoming2009
  1. C#本人开发的彩票旋转矩阵选号杀号王双色球专用版1.5

  2. 本人经过几年的努力,终于掌握了C#的编程,与同学一起开发了这套软件。与各位网友共勉。 1.5版正式推出!主要修正了(以下都是重大问题所以应及时更新,对给您造成的不便,本公司深表歉意): 1、重装软件后提示30天试用期已过的BUG; 2、机器码和注册码的0和字母O不好区分的问题。 3、一个注册表错误,会使软件重启后当成试用期结束。 “世间能有几回运,彩票游戏存玄机” ,也许您会有疑问:彩票开奖号码不是完全随机的吗?那我还算什么,随便写几个数字买算了!!其实您错了,世界上并没有绝对理想的随机数,就
  3. 所属分类:C#

    • 发布日期:2010-02-10
    • 文件大小:15mb
    • 提供者:wanghongzhuan
  1. 流光4.71 for.zip

  2. 流光4.71的使用方法,这里有使用说明可以下载自己看一下:http://www.yeshack.com/jc/200508/2345.html 工具这种东西本身就是一把双刃剑,如果因为自己的站点密码太过简单而被攻破然后归罪于因特网上一些免费或共享软件的话,恐怕是有些目光短浅了 。管辖的系统存在漏洞而不自知的话,就算没被人‘干掉',也只是一种暂时的‘虚假安全'。 一、小榕流光使用的简单说明 要谈流光还真找不着感觉——在小榕的帮助文件里已经把软件的使用方法详尽无比地描述过了(强烈建议使用者使用前将
  3. 所属分类:FTP

    • 发布日期:2010-03-23
    • 文件大小:4mb
    • 提供者:limin72455
  1. CC4051八选一模拟开关

  2. CC4051 是单8 通道数字控制模拟开关,有三个二进制控制输入端A0、A1、 A2 和INH 输入,具有低导通阻抗和很低的截止漏电流。幅值为4.5~20V 的数字信 号可控制峰-峰值至20V 的模拟信号。例如,若VDD=+5V,VSS=0,VEE=- 13.5V,则0~5V 的数字信号可控制-13.5~4.5V 的模拟信号。这些开关电路在整 个VDD-VSS 和VDD-VEE 电源范围内具有极低的静态功耗,与控制信号的逻辑 状态无关。当INH 输入端=“1”时,所有的通道截止。三位二进制信号
  3. 所属分类:专业指导

    • 发布日期:2010-04-22
    • 文件大小:136kb
    • 提供者:guanghuang419
  1. 小哨兵一键恢复1.1.9.17版

  2. 系统安装: 2.1 系统需求 (1)最低硬件配置 CPU:Pentium MMX或以上 硬盘:至少50MB以上剩余空间 (2)软件配置 支持Windows XP、Windows Server 2003、Windows Vista 2.2系统安装 (1)首先进入系统运行小哨兵“一键恢复”安装程序。 (2)出现“一键恢复”安装初始化向导,安装之前,您可以设置转移[常用目录转移]。常用目录包括:我的文档、桌面、Internet临时文件、历史记录、我的收藏。 **建议用户不要将常用个人文件和文件夹转移
  3. 所属分类:C

    • 发布日期:2010-04-24
    • 文件大小:3mb
    • 提供者:pcmaintain
  1. verilog选择器4选一

  2. verilog选择器4选一,四路输入,一路输出,还有两位做选择,压缩包里有生成的vcd文件以及*.v的源代码。
  3. 所属分类:Actionscript

    • 发布日期:2011-07-06
    • 文件大小:2kb
    • 提供者:dance_zzy
  1. JAVA阶段模拟试题

  2. 1给定如下Java程序,编译运行时,将在()出现错误。(选一项) public class Test{ public static void main(String[]args){ int i= 0; //a语句 for(;i5){ String test = “hello”; //c语句 } } System.out.println(test); //d语句 } } A.a B.b C.c D.d(出作用域) 2在Java中,下列代码的运行结果是()。(选一项) public static
  3. 所属分类:Java

    • 发布日期:2011-10-03
    • 文件大小:210kb
    • 提供者:TCS1164
  1. hishop 5.4.2(beta)最新源码下载

  2. Hishop5.4.1正式版发布以来,受到大批网商热情关注,在此,Hishop团队对所有支持Hishop的朋友表示由衷的谢意。为了能给广大用户提供更加人性而完善的网店系统体验,我们对系统进行了功能升级,此次发布的Hishop5.4.2beta版加入了当下最热门的QQ登录与一淘整合更。希望新版本能得到您的肯定,也真心期待得到您对系统改进的宝贵意见或建议! HishopV5.4.2beta版功能更新列表: 1.银联支付接口 2.ETao接入 (一淘Feed文件的自动生成与更新) 3.QQ登录(直接
  3. 所属分类:C#

    • 发布日期:2011-11-21
    • 文件大小:19mb
    • 提供者:mfkigeypm
  1. VHDL 4选一选择器

  2. VHDL语言,四选一选择器试验。 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0); begin ab y y y y null; end case; end process; end archite
  3. 所属分类:专业指导

    • 发布日期:2012-03-24
    • 文件大小:10kb
    • 提供者:xiangxiayatou
  1. EDA实验报告

  2. 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出
  3. 所属分类:专业指导

    • 发布日期:2012-04-22
    • 文件大小:348kb
    • 提供者:muyuyue
  1. eda实验4选1多路选择器

  2. EDA实验课上做的四选一多路选择器,希望有帮助。我也是刚学的EDA课程
  3. 所属分类:其它

    • 发布日期:2018-11-08
    • 文件大小:137kb
    • 提供者:xxwxxwn
  1. 4选1数据选择器扩展为8选一数据选择器

  2. 基于Multisim14制作的4选1数据选择器扩展为8选一数据选择器仿真图
  3. 所属分类:硬件开发

    • 发布日期:2019-01-14
    • 文件大小:218kb
    • 提供者:weixin_42043935
  1. EDA四选一多路选择器的设计

  2. 多路选择器(又称为数据选择器) ①功能 在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的多路选择器,有n个选择变量。 ②典型芯片 典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。 数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用两个选择变量。芯片有16条引线,其中1D0~1D3,2D0~2D3为8条数据输入线,A1和A0为选择
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:285kb
    • 提供者:weixin_38653040
  1. asp.net gridview分页:第一页 下一页 1 2 3 4 上一页 最末页

  2. 主要介绍了asp.net gridview分页:第一页 下一页 1 2 3 4 上一页 最末页,可使用上下键选中行,选中后点击修改,textbox获得gridview中的代码的数据,需要的朋友可以参考下
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:42kb
    • 提供者:weixin_38526751
  1. 圣邦新推双通道4:1模拟开关SGM4782/SGM84782

  2. 圣邦微电子(SG Micro)最新推出SGM4782,是面向便携设备音频,电源,通讯信号切换的一个双通道单刀四掷模拟开关,可以兼容CMOS与TTL电平逻辑,与Maxim MAX4782完全兼容,可广泛应用于多源选一应用的手机、GPS、消费类电子市场。   SGM4782的工作电压为1.8~4.2V,导通阻抗0.5Ω4.2V,静态工作电流<1uA,带宽为30MHz,开关速度Ton 20ns,Toff 20ns,高通道隔离度75DB100KHZ,低CROSSTALK108DB1MHZ,可以对
  3. 所属分类:其它

    • 发布日期:2020-11-21
    • 文件大小:41kb
    • 提供者:weixin_38592405
  1. 微信小程序复选框实现多选一功能过程解析

  2. 这篇文章主要介绍了微信小程序复选框实现多选一功能过程解析,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友可以参考下 功能实现界面 data: { checkboxItems: [ { name: '全天(1-8节)', value: 'allday' }, { name: '上午(1-4节)', value: 'am' }, { name: '下午(5-8节)', value: 'pm' }, { name: '晚上(晚自习
  3. 所属分类:其它

    • 发布日期:2020-11-21
    • 文件大小:31kb
    • 提供者:weixin_38622849
  1. CD4052(四选一模拟开关).PDF

  2. CD4052是一个差分4通道数字控制模拟开关,有A、B两个二进制控制输入端和INH输入,具有低导通阻抗和很低的截止漏电流。幅值为4.5~20V的数字信号可控制峰峰值至20V的模拟信号。
  3. 所属分类:电信

    • 发布日期:2021-01-05
    • 文件大小:1mb
    • 提供者:ZLI18772823800
  1. asp.net gridview分页:第一页 下一页 1 2 3 4 上一页 最末页

  2. 效果图: 功能简介:可使用上下键选中行,选中后点击修改,textbox获得gridview中的代码的数据。对你有帮助的话,请记得要点击“好文要顶”哦!!!不懂的,请留言。废话不多说了,贴码如下: GridView分頁 [removed] var currentRowId = 0; var styleName = ; function SelectRow(ev, strGvName) { var e = window.event || ev;
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:121kb
    • 提供者:weixin_38677234
  1. eda四选一多路选择器的设计

  2. 多路选择器(又称为数据选择器)  ①功能  在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的多路选择器,有n个选择变量。  ②典型芯片  典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。  数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用两个选择变量。芯片有16条引线,其中1D0~1D3,2D0~2D3为8条数据输入线,A1和A0为选择输入
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:293kb
    • 提供者:weixin_38670208
« 12 3 4 5 6 7 8 9 10 ... 50 »