您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 在线考试系统改进版 适用于单选题(4选1)考试的进行,主要有七大模块:学生练习、学生考试、题库管理、试卷管理、批改试卷、用户管理,主要适用于学生的平时练习及进行总复习时的测验

  2. 适用于单选题(4选1)考试的进行,主要有七大模块:学生练习、学生考试、题库管理、试卷管理、批改试卷、用户管理,主要适用于学生的平时练习及进行总复习时的测验 超级管理帐号:
  3. 所属分类:专业指导

    • 发布日期:2009-06-19
    • 文件大小:19456
    • 提供者:sunxuwen_shmily
  1. jQuery 1.3 API 参考文档中文版 html

  2. 2009-01-29 15:06:40 +0800 + 工具里新增merge和param两个函数 * unique和trim的返回值有误 2009-01-28 16:46:25 +0800 * bind的说明里多了个I * hide,toggle的callback参数类型为FunctionFunction * show,hide,toggle的callback参数为(Optional)改为(可选) 2009-01-24 00:55:18 +0800 * [attribute!=value] j
  3. 所属分类:Javascript

    • 发布日期:2009-07-16
    • 文件大小:119808
    • 提供者:ncwsky
  1. TCP/IP详解,卷1:协议(中文 ) W. Richard Stevens

  2. 目 录 译者序 前言 第1章 概述 1 1.1 引言 1 1.2 分层 1 1.3 TCP/IP的分层 4 1.4 互联网的地址 5 1.5 域名系统 6 1.6 封装 6 1.7 分用 8 1.8 客户-服务器模型 8 1.9 端口号 9 1.10 标准化过程 10 1.11 RFC 10 1.12 标准的简单服务 11 1.13 互联网 12 1.14 实现 12 1.15 应用编程接口 12 1.16 测试网络 13 1.17 小结 13 第2章 链路层 15 2.1 引言 15 2.2
  3. 所属分类:FTP

    • 发布日期:2009-08-06
    • 文件大小:11534336
    • 提供者:jiyanran521
  1. 本资源分为两个压缩包,请注意:TCP-IP详解卷2:实现(1)

  2. 目 录 译者序 前言 第1章 概述 1 1.1 引言 1 1.2 源代码表示 1 1.2.1 将拥塞窗口设置为1 1 1.2.2 印刷约定 2 1.3 历史 2 1.4 应用编程接口 3 1.5 程序示例 4 1.6 系统调用和库函数 6 1.7 网络实现概述 6 1.8 描述符 7 1.9 mbuf与输出处理 11 1.9.1 包含插口地址结构的mbuf 11 1.9.2 包含数据的mbuf 12 1.9.3 添加IP和UDP首部 13 1.9.4 IP输出 14 1.9.5 以太网输出 1
  3. 所属分类:其它

    • 发布日期:2010-02-19
    • 文件大小:15728640
    • 提供者:qingfengnanhai
  1. EDA实验报告 4选1数据选择器的实现

  2. 4选1数据选择器的逻辑符号如图1所示,其功能见表1。由表1可知,数据选择器在控制输入信号s1和s2的控制下,使输入数据信号a,b,c,d中的一个被选中传送到输出。s1和s2有4种组合值,由此可用CASE语句和IF语句来实现其功能。
  3. 所属分类:专业指导

    • 发布日期:2011-05-19
    • 文件大小:114688
    • 提供者:xiancc
  1. eda 实验一2选1报告.doc

  2. 1、了解多路选择器、半加器与全加器的原理; 2、掌握MAX+PLUSII的原理图方式及文本方式的设计输入方法; 3、掌握使用MAX+PLUSII仿真器进行系统仿真的方法; 4、了解层次设计的方法和步骤。
  3. 所属分类:网络基础

    • 发布日期:2013-04-17
    • 文件大小:188416
    • 提供者:u010331974
  1. 74FST3253 高速4选1数据手册

  2. 74FST3253 高速4选1数据手册, 可以用于软件无线电接收机的前端.3253 datasheet
  3. 所属分类:硬件开发

    • 发布日期:2013-05-24
    • 文件大小:187392
    • 提供者:u010826111
  1. 4选1数据选择器

  2. 使用Labview实现4选1数据选择器,是一个简单的可供学习的labview实例
  3. 所属分类:其它

    • 发布日期:2014-01-21
    • 文件大小:11264
    • 提供者:u010941527
  1. 3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学

  2. 3-8译码器,4选1多路选择器,Verilog HDL实验,华中科技大学
  3. 所属分类:专业指导

    • 发布日期:2014-03-08
    • 文件大小:185344
    • 提供者:wangchenmin_
  1. 采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求

  2. 数据选择器的功能及应用,采用2个4选1数据选择器(74LS153)构成1个8选1数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
  3. 所属分类:专业指导

    • 发布日期:2015-11-07
    • 文件大小:123904
    • 提供者:qq_24091613
  1. 使用两个74LS153器件来实现8选1

  2. 使用两个74LS153器件来实现8选1,使用两个74LS153的4选1的来实现的
  3. 所属分类:其它

    • 发布日期:2015-11-08
    • 文件大小:145408
    • 提供者:qq_24091613
  1. WHEN语句编写的4选1选择器

  2. 这是一个4选1多路选择器,用WHEN语句表达的,多路选择器。
  3. 所属分类:Java

    • 发布日期:2009-03-31
    • 文件大小:449
    • 提供者:tadpole06
  1. org.eclipse.jface.text_3.11.1.v20160819-1517.jar修正版

  2. 取消空格,等号,分号自动选中上屏,Eclipse 4.6.1版本修正版
  3. 所属分类:Java

    • 发布日期:2018-05-10
    • 文件大小:1043456
    • 提供者:yyl8918
  1. eda实验4选1多路选择器

  2. EDA实验课上做的四选一多路选择器,希望有帮助。我也是刚学的EDA课程
  3. 所属分类:其它

    • 发布日期:2018-11-08
    • 文件大小:140288
    • 提供者:xxwxxwn
  1. 4选1数据选择器扩展为8选一数据选择器

  2. 基于Multisim14制作的4选1数据选择器扩展为8选一数据选择器仿真图
  3. 所属分类:硬件开发

    • 发布日期:2019-01-14
    • 文件大小:223232
    • 提供者:weixin_42043935
  1. 4选1多路选择器控制8位七段数码管的设计

  2. 4选1多路选择器控制8位七段数码管的设计,实现 将 3位的 4选 1多路选择器的 3位输 出接到 八进制数显示模块上,最终实现上,最终实现 利用拨码开关控制选以八进制数 显示在 1个七段数码管上。
  3. 所属分类:专业指导

    • 发布日期:2019-05-30
    • 文件大小:117760
    • 提供者:weixin_43659639
  1. 4位16进制数的数码管动态显示电路描述

  2. 首先输出多路十六进制数据,然后将其译码。这种方案只需要一个译码电 路,使4选1数据选择器的位宽从8位降为了5位(4位16进制数和1位小数点)。除clock和reset信号之外,输入信号包括4个4位十六进制数据:hex3, hex2, hex1,hex0,和dp_in中的4位小数点。
  3. 所属分类:IT管理

    • 发布日期:2020-04-23
    • 文件大小:10240
    • 提供者:wen808080
  1. 模拟技术中的8通道/双4通道72V模拟复用器 —MAX14752/MAX14753

  2. MAX14752/MAX14753为8选1和双路4选1高压模拟多路复用器。这两款器件具有60Ω (典型值)低导通电阻和0.03Ω的导通电阻平坦度(典型值)。这些低导通电阻多路复用器可双向工作。EN输入可灵活定义逻辑电平,选中连接通道。   MAX14752为8选1多路复用器,MAX14753为双路4选1多路复用器。这两款器件均可采用±10V至±36V双电源或+20V至+72V单电源供电。   MAX14752/MAX14753采用16引脚TSSOP封装,引脚与工业标准的DG408/DG409
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:124928
    • 提供者:weixin_38637918
  1. 使用ASP.NET.4.5.1+MVC5.0 搭建一个包含 Ninject框架 项目

  2. 1.创建一个空白解决方案 2.添加一个类库 名称为XXX.Domain 3.添加一个ASP.MVC名称为XXX.WebUI 4.选着空模版,勾选MVC核心引用 5.添加单元测试项目XXX.UntiTests 6.在程序包控制台里面输入以下代码 代码如下: Install-Package Ninject -version 3.0.1.10 -projectname Toad.WebUI Install-Package  Ninject.Web.Common  -version  3.0
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:353280
    • 提供者:weixin_38594687
  1. 8通道/双4通道72V模拟复用器 —MAX14752/MAX14753

  2. MAX14752/MAX14753为8选1和双路4选1高压模拟多路复用器。这两款器件具有60Ω (典型值)低导通电阻和0.03Ω的导通电阻平坦度(典型值)。这些低导通电阻多路复用器可双向工作。EN输入可灵活定义逻辑电平,选中连接通道。   MAX14752为8选1多路复用器,MAX14753为双路4选1多路复用器。这两款器件均可采用±10V至±36V双电源或+20V至+72V单电源供电。   MAX14752/MAX14753采用16引脚TSSOP封装,引脚与工业标准的DG408/DG409
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:164864
    • 提供者:weixin_38713009
« 12 3 4 5 6 7 8 9 10 ... 50 »