您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 4-16译码器vhedl源程序

  2. 用quartus7.2编写的4-16译码器的vhdl工程文件
  3. 所属分类:其它

    • 发布日期:2009-09-12
    • 文件大小:346112
    • 提供者:nt321123
  1. 74hc154芯片 4-16译码器(pdf)

  2. 发现本网站没有关于74HC154的芯片资料,特上传,有需要的请下载。
  3. 所属分类:嵌入式

    • 发布日期:2009-11-13
    • 文件大小:147456
    • 提供者:qiujunying5499
  1. 实验七 译码器及其应用

  2. 、实验目的 1. 掌握3 -8线译码器、4 -10线译码器的逻辑功能和使用方法。 2. 掌握用两片3 -8线译码器连成4 -16线译码器的方法。 3. 掌握使用74LS138实现逻辑函数和做数据分配器的方法。
  3. 所属分类:专业指导

    • 发布日期:2010-04-12
    • 文件大小:1048576
    • 提供者:htt0324
  1. 哈夫曼编/译码器I:初始化(Initialization)。E:编码(Encoding)。D:译码(Decoding)。P:印代码文件(Print)。T:印哈夫曼树(Tree Printing)。

  2. (1)I:初始化(Initialization)。从终端读入字符集大小n,以及n个字符和n个权值,建立哈夫曼树,并将它存于文件hfmTree中。 (2)E:编码(Encoding)。利用已建好的哈夫曼树(如不在内存,则从文件htmTree中读入),对文件ToBeTran中的正文进行编码,然后将结果存入文件CodeFile中。 (3)D:译码(Decoding)。利用已建好的哈夫曼树将文件CodeFile中的代码进行译码,结果存入文件TextFile中。 (4)P:印代码文件(Print)。将文
  3. 所属分类:C

    • 发布日期:2010-06-09
    • 文件大小:633856
    • 提供者:peak5154411
  1. 在MAX+PLUS II中,采用LPM图元设计一个4-16译码器,采用基本门电路设计一个一位的全加器

  2. 1.在图形编辑器中采用LPM图元设计一个4-16译码器,以decoder16.gdf命名保存。将器件设定为EPM7128LC84-6。输入D、C、B、A绑定到10,11,12,13管脚,输出Y0….Y15按顺序绑定到60至75管脚。进行波形仿真,验证功能正确。分析节点A到节点y15的最短延时。 2.在图形编辑器中,采用基本门电路设计一个一位的全加器,以FADDER.gdf命名保存。器件设定为EPM7128LC84-6。输入Ain、Bin、Cin(进位输入)分别绑定到Pin21、22、23,输出
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:64512
    • 提供者:bi_qianyu
  1. 在MAX+PLUS II中,设计一个半加器和或门、4-16译码器和4位向量乘法器

  2. 1.利用文本编辑器和VHDL语言设计一个半加器和或门,将其定义成Symbol图元,在图形编辑器中利用这些Symbol将其设计成一个全加器。下载到CPLD芯片中,接入输入电平信号和输出LED显示器,通电验证并抄写其真值表。 2.利用VHDL语言设计一个4-16译码器,下载后实现。 3.利用VHDL语言设计一个4位向量乘法器,下载后实现。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:363520
    • 提供者:bi_qianyu
  1. 4-16译码器VHDL语言设计

  2. 4-16译码器VHDL语言设计, library ieee; use ieee.std_logic_1164.all; entity cjg4_16 is port(DATA:in std_logic_vector(3 downto 0); EN :in std_logic; Y:out std_logic_vector(15 downto 0)); end entity cjg4_16; architecture arch1 of cjg4_16 is begin process(en,da
  3. 所属分类:其它

    • 发布日期:2010-11-16
    • 文件大小:1024
    • 提供者:hjf881122
  1. 数据结构课程设计哈夫曼编\译码器

  2. 题目的基本要求是: 1.初始化,键盘输入字符集大小n,n个字符和n个权植,建立哈夫曼树。 2.编码,利用建好的huffman树生成huffman编码; 3.输出编码; 4.译码功能; 5.字符和频度如下: 字符 空格 A B C D E F G H I J K L M N O P Q 频度 186 64 13 22 32 103 21 15 47 57 1 2 32 20 57 63 15 1 字符 R S T U V W X Y Z 频度 48 51 80 23 8 18 1 16
  3. 所属分类:C

    • 发布日期:2011-07-06
    • 文件大小:124928
    • 提供者:sms0101
  1. CD4514\4位锁存器\4-16路译码器

  2. CD4514 4位锁存器/4-16路译码器
  3. 所属分类:制造

    • 发布日期:2011-07-12
    • 文件大小:228352
    • 提供者:wzw1103
  1. 哈夫曼编码译码器

  2. 【问题描述】设计一个利用哈夫曼算法的编码和译码系统,重复地显示并处理以下项目,直到选择退出为止。【基本要求】(1)初始化:键盘输入字符集大小n、n个字符和n个权值,建立哈夫曼树;(2)编码:利用建好的哈夫曼树生成哈夫曼编码;(3)输出编码;(4)设字符集及频度如下表:字符 空格 A B C D E F G H I J K L M频度 186 64 13 22 32 103 21 15 47 57 1 5 32 20字符 N O P Q R S T U V W X Y Z 频度 57 63 15
  3. 所属分类:其它

    • 发布日期:2008-05-29
    • 文件大小:83968
    • 提供者:liujuncz
  1. 4-16译码器程序

  2. vhdl语言描述的,4线-16线译码器的完整程序
  3. 所属分类:其它

    • 发布日期:2012-05-27
    • 文件大小:13312
    • 提供者:ada_ya
  1. 1616点阵驱动与仿真

  2. 16*16点阵的驱动 仿真实现 //================================================ //双74LS138 4-16译码器 16*16led驱动程序 显示“小华” //扫描方式不变,但是列数据取表是两位一取,由P2,P3同 //时8位传送,即16位。 //创作日期:2012/11/1 //创作者: Lee Ven //================================================ //==============
  3. 所属分类:C

    • 发布日期:2013-01-04
    • 文件大小:40960
    • 提供者:enjoyliwenhua
  1. 16*32点阵原理图

  2. 单片机16*32点阵汉字显示74hc595,4-16译码器。
  3. 所属分类:其它

    • 发布日期:2013-05-24
    • 文件大小:188416
    • 提供者:u010078458
  1. 4-16译码器 verilog语言

  2. verilog编写的4—16译码器。译码电路
  3. 所属分类:硬件开发

    • 发布日期:2013-12-01
    • 文件大小:805
    • 提供者:u012340443
  1. 4-16译码器引脚连接图

  2. 由两个74ls138组成的4-16译码器
  3. 所属分类:专业指导

    • 发布日期:2008-12-02
    • 文件大小:30720
    • 提供者:ioio123ok
  1. 4-16译码器+电机驱动

  2. 4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,
  3. 所属分类:硬件开发

    • 发布日期:2018-01-22
    • 文件大小:86016
    • 提供者:ime2005
  1. 74系列3-8译码器 TM74HC138

  2. 74系列3-8译码器 TM74HC138,三输入,八输出。TM74HC138通常应用于单个三地址数据输入八译码输出的3-8译码器,也可根据使能信号特点用两个TM74HC138实现四地址数据输入和16 译码输出的 4-16 译码器,应用中未使用的使能端要处在译码有效输出使能电平状态。
  3. 所属分类:硬件开发

    • 发布日期:2019-05-06
    • 文件大小:321536
    • 提供者:fred175320
  1. 我与FPGA的恋爱之Case语句验证4-16译码器

  2. 本文章是用Case语句验证4-16译码器。
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:33792
    • 提供者:weixin_38532629
  1. 菜鸟初入FPGA之Case语句验证4-16译码器

  2. 本文章是关于FPGA之Case语句验证4-16译码器。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:33792
    • 提供者:weixin_38724663
  1. 实验1:3-8译码器(拓展4-16进制译码器).docx

  2. EDA技术及应用课程相关实验:3-8译码器及4-16译码器
  3. 所属分类:其它

    • 发布日期:2021-02-27
    • 文件大小:890880
    • 提供者:barelank
« 12 3 4 5 6 7 8 9 10 »