您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 4-16译码器vhedl源程序

  2. 用quartus7.2编写的4-16译码器的vhdl工程文件
  3. 所属分类:其它

    • 发布日期:2009-09-12
    • 文件大小:346112
    • 提供者:nt321123
  1. DUMeter_EN 4.16 Build 3102

  2. DUMeter_EN 4.16 Build 3102
  3. 所属分类:其它

    • 发布日期:2010-04-04
    • 文件大小:3145728
    • 提供者:zhouhongfeiccie
  1. 用EXCEL做的大单接受程序2010-4-16

  2. 用EXCEL做的大单接受程序2010-4-16
  3. 所属分类:专业指导

    • 发布日期:2010-04-16
    • 文件大小:3145728
    • 提供者:jiaoxliang
  1. 在MAX+PLUS II中,采用LPM图元设计一个4-16译码器,采用基本门电路设计一个一位的全加器

  2. 1.在图形编辑器中采用LPM图元设计一个4-16译码器,以decoder16.gdf命名保存。将器件设定为EPM7128LC84-6。输入D、C、B、A绑定到10,11,12,13管脚,输出Y0….Y15按顺序绑定到60至75管脚。进行波形仿真,验证功能正确。分析节点A到节点y15的最短延时。 2.在图形编辑器中,采用基本门电路设计一个一位的全加器,以FADDER.gdf命名保存。器件设定为EPM7128LC84-6。输入Ain、Bin、Cin(进位输入)分别绑定到Pin21、22、23,输出
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:64512
    • 提供者:bi_qianyu
  1. 4-16译码器VHDL语言设计

  2. 4-16译码器VHDL语言设计, library ieee; use ieee.std_logic_1164.all; entity cjg4_16 is port(DATA:in std_logic_vector(3 downto 0); EN :in std_logic; Y:out std_logic_vector(15 downto 0)); end entity cjg4_16; architecture arch1 of cjg4_16 is begin process(en,da
  3. 所属分类:其它

    • 发布日期:2010-11-16
    • 文件大小:1024
    • 提供者:hjf881122
  1. m4-1.4.16.tar.bz2

  2. tar jxvf m4-1.4.16.tar.bz2 cd m4-1.4.16 ./configure make clean;make make install
  3. 所属分类:C

    • 发布日期:2011-10-28
    • 文件大小:1048576
    • 提供者:nextel
  1. MySQL-Front 5.1.4.16 安装详解

  2. MySQL-Front5.1.4.16 安装过程全记录,内含软件的下载地址等信息,包括详尽的文字和图片说明,安装过程中对特别的注意事项做了标注,能使您快速方便的安装该软件,开始您的数据库之旅。该文档系个人总结,不足之处,敬请谅解!!
  3. 所属分类:MySQL

    • 发布日期:2011-12-23
    • 文件大小:479232
    • 提供者:wukkandy
  1. ruby-debug-ide-0.4.16.gem

  2. ruby-debug-ide-0.4.16.gem
  3. 所属分类:其它

    • 发布日期:2012-03-09
    • 文件大小:20480
    • 提供者:sf_promise
  1. redis-2.4.16.tar.gz

  2. redis-2.4.16.tar.gz key-value 数据库
  3. 所属分类:其它

    • 发布日期:2012-10-07
    • 文件大小:650240
    • 提供者:choose_one
  1. php-5.4.16-nts-Win32-VC9-x86.zip

  2. php-5.4.16-src下载,最新的PHP工具应用。
  3. 所属分类:Windows Server

    • 发布日期:2013-06-07
    • 文件大小:15728640
    • 提供者:yang4561697
  1. vs2012连接 sqlite 试用版 4.16版本

  2. vs2012连接 sqlite 试用版 4.16版本
  3. 所属分类:SQLite

    • 发布日期:2013-06-23
    • 文件大小:54525952
    • 提供者:act50
  1. 清华同方易教EGV4.17E和4.16底层驱动

  2. 清华同方易教EGV4.17E和4.16底层驱动
  3. 所属分类:网管软件

    • 发布日期:2013-06-27
    • 文件大小:13631488
    • 提供者:sdjnez
  1. m4 for linux-1.4.16

  2. m4 for linux-1.4.16.tar.gz
  3. 所属分类:Linux

    • 发布日期:2014-05-24
    • 文件大小:1048576
    • 提供者:sunstar616
  1. php.5.4.16

  2. linux的php.5.4.16.tar.gz
  3. 所属分类:PHP

    • 发布日期:2015-04-11
    • 文件大小:14680064
    • 提供者:kunatnet
  1. Apache2.4.16 Windows64位

  2. Apache2.4.16,Windows64位,官网下载,服务器
  3. 所属分类:Android

    • 发布日期:2015-08-31
    • 文件大小:7340032
    • 提供者:qq6833288
  1. sip-4.16.6

  2. sip-4.16.6 软件,需要的下载~
  3. 所属分类:其它

    • 发布日期:2015-12-13
    • 文件大小:986112
    • 提供者:u012906122
  1. AppiumForWindows_1.4.16.1.zip

  2. AppiumForWindows_1.4.16.1.zip
  3. 所属分类:Android

    • 发布日期:2016-06-27
    • 文件大小:49283072
    • 提供者:jiangzuo
  1. 计算机组成与性能设计4.16

  2. 计算机组成与性能设计 4.16
  3. 所属分类:讲义

    • 发布日期:2017-04-17
    • 文件大小:2097152
    • 提供者:boostc
  1. 4-16译码器引脚连接图

  2. 由两个74ls138组成的4-16译码器
  3. 所属分类:专业指导

    • 发布日期:2008-12-02
    • 文件大小:30720
    • 提供者:ioio123ok
  1. VHDL 4-16乘法器

  2. VHDL 4-16乘法器,用VHDL语言编写
  3. 所属分类:其它

    • 发布日期:2009-02-13
    • 文件大小:4096
    • 提供者:anqiangqiang
« 12 3 4 5 6 7 8 9 10 ... 50 »