您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 51单片机常用模块设计查询手册配套光盘程序代码

  2. 本书主要介绍了51单片机系统中常用模块的具体运用,这些模块包括:电源模块、输入输出及显示模块、各类存储模块(并行及串行)、数据传输接口模块、网络通信模块、AD/DA模块、语音处理模块、信号发生模块、电机模块、打印机模块、传感器模块等。 书中同时介绍了51单片机系统中各类模块的使用,包括硬件电路设计和程序代码编写,程序代码的编写采用汇编语言和C语言对照的方式,使读者对运用这两种语言开发单片机应用系统可以有更为全面的了解;另外在程序中给出了对代码功能的解释说明,提高了程序的可读性,有助于读者理解。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-27
    • 文件大小:208896
    • 提供者:sandyannyy
  1. DDS AD9851的51串并控制代码及频率控制字计算工具

  2. DDS AD9851的51串并控制代码及频率控制字计算工具
  3. 所属分类:专业指导

    • 发布日期:2010-06-06
    • 文件大小:91136
    • 提供者:longfei_3
  1. 单片机语言C51应用实战集锦

  2. 单片机语言C51应用实战集锦目录: 程序一 实时时钟芯片DS1302的C51程序例子 程序二 C430与CSI的一点区别 程序三 一个菜单的例子 程序四 DS1820单芯片温度测量 程序五 keilc 6.20c版直接嵌入汇编的方法 程序六 用计算机并口模拟SPI通信的C源程序 程序七 CRC 16-SIANDARD的快速算法 程序八 在PC上用并行口模拟I(平方)C总线的C源代码 程序九 一种在C51中写二进制的方法 程序十 CRC算法原理及C语言实现 程序十一 软件陷阶 程序十二 一个简单
  3. 所属分类:C

    • 发布日期:2010-08-09
    • 文件大小:5242880
    • 提供者:onion2007
  1. 51单片机C语言编程基础及实例

  2. 文库帮手网 www.365xueyuan.com 免费帮下载 百度文库积分 资料 本文由pengliuhua2005贡献 doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。 51 单片机设计跑马灯的程序用(c 语言)编写 P1 口接 8 个发光二极管共阳,烧入下面程序 #include unsigned char i; unsigned char temp; unsigned char a,b; void delay(void) { unsigned char
  3. 所属分类:嵌入式

    • 发布日期:2011-04-19
    • 文件大小:34816
    • 提供者:hongsekexuejia
  1. 例说51单片机 (C语言版).

  2. 本书通过众多实例向读者介绍了51单片机的各种功能。全书分为14章,分别介绍了51单片机基础知识、laVision 3和Keil C开发环境、输人口及输出口的应用、中断的应用、定时器及计数器的应用、串行口的应用、声音的产生、步进马达的控制、ADC和DAC的应用、LED阵列的应用、LCD模块的应用、直流马达的控制等内容。附录中还对常用的在线仿真器进行了介绍,并提供了开发中常用的中英文名词对照表。 本书在写法上非常独特。每一章中,在简单介绍相关知识后,马上辅以内容详细、针对性强的“实例演练”,每个实
  3. 所属分类:嵌入式

    • 发布日期:2012-01-20
    • 文件大小:29360128
    • 提供者:fjxmcjb
  1. 单片机控制打印机

  2. 这个是一个项目上得测试代码,用51单片机 发票打印机 51 串口接收pc数据 51的io连接并口 ,中间接一个74hc05 绝对原创资料 请勿外传 仅供学习用 内含 vb6.0 上位源码 51 源码 keil编译器
  3. 所属分类:C/C++

    • 发布日期:2012-11-06
    • 文件大小:10485760
    • 提供者:snail_dongbin
  1. 开源一STM32项目,CAN,UART,Input检测 原创相对高效性能与代码重用平衡思路,可直接做工程模板

  2. 1、CAN收发队列 使用内存FIFO缓冲CAN帧,适合大数据量通信;并使用内部软中断处理CAN数据,相当于事件响应,综合应该比查询方式节省不少时间,也应该比OS调度省点时间。Can.C:底层处理,Communi.C:与应用层高相关。 应用层处理流程用函数指针表的方式调用减少代码量及阅读整齐;实现CAN各种错误记录机制。已初步测试,该机制可用。 CAN处理流程: 接收:CAN1_RX0_IRQHandler_Name (void), CAN1_RX1_IRQHandler(void) 接收中断,
  3. 所属分类:C

    • 发布日期:2013-01-13
    • 文件大小:1048576
    • 提供者:xsky
  1. java范例开发大全

  2. 第1篇 Java编程基础 第1章 Java开发环境的搭建(教学视频:9分钟) 2 1.1 理解Java 2 1.2 搭建Java所需环境 3 1.2.1 下载JDK 3 1.2.2 安装JDK 4 1.2.3 配置环境 5 1.2.4 测试JDK配置是否成功 7 实例1 开发第一个Java程序 7 第2章 Java基础类型与运算符(教学视频:39分钟) 9 2.1 基础类型 9 实例2 自动提升 9 实例3 自动转换 10 实例4 常用基础类型之强制转换 11 2.2 运算符 12 实例5 算
  3. 所属分类:Java

    • 发布日期:2013-03-08
    • 文件大小:17825792
    • 提供者:shengsikui
  1. C程序范例宝典(基础代码详解)

  2. 内容简介 本书全面介绍了应用C语言进行开发的各种技术和技巧,全书共分12章,内容包括基础知识、指针、数据结构、算法、数学应用、文件操作、库函数应用、图形图像、系统调用、加解密与安全性、游戏、综合应用等。全书共提供300个实例,每个实例都突出了其实用性。   本书既可作为C程序的初学者学习用书,也可作为程序开发人员、相关培训机构老师和学生的参考用书。 第1章 基础知识 1 1.1 进制转换 2 实例001 十进制转换为十六进制 2 实例002 十进制转换为二进制 3 实例003 n进制转换为十进
  3. 所属分类:C/C++

    • 发布日期:2013-05-17
    • 文件大小:297984
    • 提供者:huluo666
  1. VB串口通信源码210个

  2. 001、VB串口通讯视频教程源码41个 002、Visual Basic串口通信工程开发实例导航随书源码7个 003、Visual Basic串口通信与测控应用技术实战详解 源代码(15个全) 004、GE PLC串口通讯,VB编制,读取内存单元 005、PC机与51单片机之间的串口通讯,VB编的,分PC和单片机两部分 006、VB6的串口通信程序,还有crc校验 007、VB Modbus RTU源码,其中协议部分已生成DLL,可直接调用 008、VB.net开发的串口调试程序 009、VB
  3. 所属分类:VB

    • 发布日期:2013-10-15
    • 文件大小:61865984
    • 提供者:amen6225
  1. msc c51单片机各实用例子源码及proteus仿真集合非常经典大全非常有用汇编语言代码

  2. 超级51单片机 proteus 仿真 例子集合,代码有网上收集而来, PROTEUS 在 MCS-51 上应用实例 PROTEUS 在 MCS-51 应用例子 绝对经典,绝对通过,仿真正常,可用,亲测 单片机仿真例子源码 单片机汇编源码例子 source code for mcs 51 mcu mcs 51 汇编仿真例子集合 proteus 仿真大全, 100多个基于51单片机的汇编语言汇编c51 proteus 仿真例子 mcu simulate 流水灯 仿真 开关灯 仿真 51驱动74ls
  3. 所属分类:硬件开发

    • 发布日期:2014-03-25
    • 文件大小:2097152
    • 提供者:mynameislinduan
  1. mcs 51单片机 proteus 实用工程例子 仿真 例子集合,代码为网上收集

  2. mcs 51单片机 proteus 仿真 例子集合,代码为网上收集 PROTEUS 在 MCS-51 上应用实例 PROTEUS 在 MCS-51 应用例子 绝对经典,绝对通过,仿真正常,可用,亲测 单片机仿真例子源码 单片机汇编源码例子 source code for mcs 51 mcu mcs 51 汇编仿真例子集合 proteus 仿真大全, 100多个基于51单片机的汇编语言汇编c51 proteus 仿真例子 mcu simulate 1.流水灯 仿真 2.开关灯 仿真 3.51驱
  3. 所属分类:硬件开发

    • 发布日期:2014-03-25
    • 文件大小:2097152
    • 提供者:mynameislinduan
  1. C范例宝典(基础代码详解)

  2. 内容简介 本书全面介绍了应用C语言进行开发的各种技术和技巧,全书共分12章,内容包括基础知识、指针、数据结构、算法、数学应用、文件操作、库函数应用、图形图像、系统调用、加解密与安全性、游戏、综合应用等。全书共提供300个实例,每个实例都突出了其实用性。   本书既可作为C程序的初学者学习用书,也可作为程序开发人员、相关培训机构老师和学生的参考用书。 第1章 基础知识 1 1.1 进制转换 2 实例001 十进制转换为十六进制 2 实例002 十进制转换为二进制 3 实例003 n进制转换为十进
  3. 所属分类:C

    • 发布日期:2014-06-09
    • 文件大小:297984
    • 提供者:gaoyuyihao
  1. Windows内核安全与驱动开发光盘源码

  2. 第1章 内核上机指导 2 1.1 下载和使用WDK 2 1.1.1 下载并安装WDK 2 1.1.2 编写第一个C文件 4 1.1.3 编译一个工程 5 1.2 安装与运行 6 1.2.1 下载一个安装工具 6 1.2.2 运行与查看输出信息 7 1.2.3 在虚拟机中运行 8 1.3 调试内核模块 9 1.3.1 下载和安装WinDbg 9 1.3.2 设置Windows XP调试执行 9 1.3.3 设置Vista调试执行 10 1.3.4 设置VMware的管道虚拟串口 11 1.3.5
  3. 所属分类:系统安全

    • 发布日期:2015-07-11
    • 文件大小:1048576
    • 提供者:u013605639
  1. Windows内核安全驱动开发(随书光盘)

  2. 第1章 内核上机指导 2 1.1 下载和使用WDK 2 1.1.1 下载并安装WDK 2 1.1.2 编写第一个C文件 4 1.1.3 编译一个工程 5 1.2 安装与运行 6 1.2.1 下载一个安装工具 6 1.2.2 运行与查看输出信息 7 1.2.3 在虚拟机中运行 8 1.3 调试内核模块 9 1.3.1 下载和安装WinDbg 9 1.3.2 设置Windows XP调试执行 9 1.3.3 设置Vista调试执行 10 1.3.4 设置VMware的管道虚拟串口 11 1.3.5
  3. 所属分类:系统安全

    • 发布日期:2015-08-02
    • 文件大小:685056
    • 提供者:bujin888
  1. 基于51单片机的蓝牙控制LED设计

  2. 此程序成功通过编译,而且其功能也已经在硬件上实现。 设计基于单片机(STC89C516RD+)的蓝牙(HC-05主从一体)控制LED,以手机作为终端将控制指令经蓝牙通信系统发送给单片机,再由单片机接收并执行控制指令处理显示代码后,将数据内容串行输出并控制译码电路完成串并转换,最后由显示驱动电路(4片74HC595)处理电流和电压控制LED的显示。
  3. 所属分类:C/C++

    • 发布日期:2015-10-11
    • 文件大小:20480
    • 提供者:qixiaolitjpu
  1. MCS-51单片机和PC机间的串口通信

  2. 概述了PC机与单片机间进行串行口通信的基本原理,介绍了PC机与下位机之间的通信协议,并详述了在Visual C++环境下上位机利用MSComm通信控件与单片机之间进行RS232异步串行口通信的实现,给出了实现通信的硬件设计、 串行口属性的初始化、与下位机进行通信的控制代码以及下位机的程序流程图
  3. 所属分类:硬件开发

    • 发布日期:2009-02-08
    • 文件大小:276480
    • 提供者:xiao_xiaobo
  1. 广州大彩F系列串口屏MCU例程_V1.1

  2. 我司提供了串口屏驱动代码和范例程序,目前支持的单片机平台有 51、 STM32。我司例程上机测试的单片机是STM32F103VCT6和STC89CX系列,用户可以直接修改范例程序,参考程序上已有的功能,然后添加并修改自己的功能代码(例如温湿采集、开关控制等)。此文档所引用的程序出自STM32的例程,但51实现功能代码也是一样的,不同的只是定时器和串口的配置。
  3. 所属分类:嵌入式

    • 发布日期:2018-11-30
    • 文件大小:1048576
    • 提供者:weixin_43815099
  1. ESP WIFI模块(51单片机代码)-可实现远程APP控制单片机芯片,单片机芯片自动反馈执行效果.7z

  2. 这个也是本科最后一年业余自己玩的,比之前那个更好点,里边除了包含ESP WIFI模块的常用命令库,也就是单片机和ESP通过串口相联通之后,单片机可以通过这个代码识别设置ESP的寄存器/工作模式,同时识别接收的数据(这个是按我需要做的,大家可以自己改,编程这种重要的是思维和方法,这个文件算是给新手一些借鉴吧),另外,这个可以将远程的APP发来的数据做识别,并将数据反馈至APP
  3. 所属分类:嵌入式

    • 发布日期:2020-04-18
    • 文件大小:61440
    • 提供者:tjb132
  1. Q系列串行口通信模块基础.pdf

  2. Q系列串行口通信模块基础pdf,Q系列串行口通信模块基础i设计说明 △小心 不要将控制线或通讯电缆捆扎到主回路或电源线上,安装时也不要使它们靠得太近 安装时,它们应彼此间隔100mm(3in.)或更远。 不这样做可能会产生噪声,引起故障。 ●当闪存冇RoM中寄冇了某些值,如缓冲冇储器设定值,那么在使用模块时,不要断开安装了模 块的站的电源,也不要复位 PLC CPU 当闪存ROM中寄存了某些值,此时如果断开安装了模块的站的电源,或者复位 PLC CPU,那 么內存ROM中的数据内容将前后矛盾,结
  3. 所属分类:其它

    • 发布日期:2019-10-15
    • 文件大小:35651584
    • 提供者:weixin_38743737
« 12 3 4 5 »