您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 电梯调度算法论文(未完待续)

  2. (1)建筑共有21层,其中含有地下一层(地下一层为停车场及货物运送场所)。 (2)建筑内部设有两部电梯,编号分别为A梯、B梯。 (3)电梯内部有23个按钮,其中包括开门按钮、关门按钮和楼层按钮,编号为-1,1,2,3,4……20。 (4)电梯外部含有两个按钮,即向上运行按钮和向下运行按钮。建筑顶层与地下一层例外,建筑顶层只设置有向下运行按钮,地下一层只设置有向上运行按钮。 (5)电梯开关门完成时间设定为1秒。电梯到达每层后上下人的时间设定为8秒。电梯从静止开始运行到下一层的时间设置为2秒,而运
  3. 所属分类:其它

    • 发布日期:2009-05-20
    • 文件大小:34kb
    • 提供者:twistausher12
  1. 四层plc电梯设计课程设计

  2. 使用plc完成了对四层电梯的上下控制共有6个文件
  3. 所属分类:专业指导

  1. 电梯控制器VHDL程序与仿真

  2. 电梯控制器VHDL程序与仿真,6层楼的电梯控制系统
  3. 所属分类:嵌入式

    • 发布日期:2009-09-02
    • 文件大小:159kb
    • 提供者:geqiqi1314
  1. 6层电梯 两部 电梯控制器 运用VHDL软件

  2. 6层电梯 两部 电梯控制器 运用VHDL软件 需要用图表形式把选择器和电梯连在一起
  3. 所属分类:其它

    • 发布日期:2009-09-17
    • 文件大小:166kb
    • 提供者:cherrygc1219
  1. PLC控制三层电梯系统

  2. 1、编写流程图; 2、选择可编程控制器; 3、编写可编程I/O端口分配表; 4、绘制电气控制图; 5、编制程序梯形图; 6、设计结果分析。
  3. 所属分类:其它

    • 发布日期:2009-12-03
    • 文件大小:196kb
    • 提供者:kfb120
  1. 一个VHDL电梯控制器的程序

  2. 这是一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。 6、 电梯运行规则:当电梯处于上升模
  3. 所属分类:专业指导

    • 发布日期:2009-12-21
    • 文件大小:158kb
    • 提供者:woshaogang123
  1. 毕业设计 plc控制电梯.doc

  2. 第一章 引言 —中国电梯的现状与发展趋势……………………………..3 1.1 中国电梯市场的发展……………………………………….3 1.2 电梯需求将持续增长 节能型是发展方向………………...3 1.3 随着科技的进步 电梯将实现智能化……………………...6 第二章 四层电梯控制器的VHDL设计…………………………..9 2.1 PLC电梯控制缺点..................................................................9 2.2
  3. 所属分类:嵌入式

    • 发布日期:2010-01-09
    • 文件大小:542kb
    • 提供者:hkj272418628
  1. 6层电梯控制器VHDL

  2. 介绍了基于FPGA的电梯控制器的总体设计方案,阐述了其内部功能模块的工作原理,基于状态机的原理,利用VHDL语言对各功能模块进行了编程、编译、仿真,并下载到实验箱上进行了验证。结果表明,该电梯控制器按照方向优先的原则可为四个楼层提供载客服务,并具有电梯运行情况指示功能。文中使用的设计方法不仅简化了电路设计、节约了设计成本,而且提高了控制器的可靠性、稳定性和灵活性。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-10
    • 文件大小:40kb
    • 提供者:xjxiejie
  1. 基于EDA的六层电梯控制器

  2. 基于EDA的六层电梯控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u
  3. 所属分类:C

    • 发布日期:2010-06-29
    • 文件大小:35kb
    • 提供者:zhanghaobuhui
  1. 模拟电梯系统程序设计

  2. 八、 实验内容和要求: 要求根据下面的功能说明描述实现模拟电梯控制软件 (一)电梯配置 1. 共有1个电梯 2. 共有maxfloor层楼层。maxfloor=9。 3. 中间层每层有上下两个按钮,最下层只有上行按钮,最上层只有上行按钮。每层都有相应的指示灯,灯亮表示该按钮已经被按下,如果该层的上行或者下行请求已经被响应,则指示灯灭 4. 电梯内共有maxfloor个目标按钮,表示有乘客在该层下电梯。有指示灯指示按钮是否被按下。乘客按按钮导致按钮指示灯亮,如果电梯已经在该层停靠则该按钮指示灯灭
  3. 所属分类:嵌入式

    • 发布日期:2010-07-12
    • 文件大小:1mb
    • 提供者:hc8758
  1. 基于FPGA电梯控制器VHDL程序与仿真。

  2. 6层楼的电梯控制系统。 entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,
  3. 所属分类:C

    • 发布日期:2010-12-02
    • 文件大小:252kb
    • 提供者:TOMORROW_LIU
  1. 六层电梯控制器的VHDL设计

  2. VHDL控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4
  3. 所属分类:C

    • 发布日期:2010-12-24
    • 文件大小:252kb
    • 提供者:jsycjxx
  1. plc电梯控制,现状和未来的发展

  2. 现代高层电梯的plc控制,一座6层办公大楼有两部电梯同时工作,如果控制两部电梯的电脑具有通信功能(即可相互交换信息),写出两部电梯电脑的控制算法?
  3. 所属分类:其它

    • 发布日期:2011-03-09
    • 文件大小:43kb
    • 提供者:linhai2010
  1. 六层电梯源码注释仿真

  2. 设计一个6层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。要求设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。电梯每秒升降一层楼。 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门5秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 电梯能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至有电梯响应后消除。 初始状态为一层开门,第一
  3. 所属分类:其它

    • 发布日期:2012-01-05
    • 文件大小:246kb
    • 提供者:ljjlxhlh
  1. 第8章 6层电梯控制器.pdf

  2. 本章的设计实例为6层电梯控制。使用FPGA可以方便高效的实现。在学习之前,读者需要分析了解电梯的信号原理。
  3. 所属分类:嵌入式

    • 发布日期:2013-04-23
    • 文件大小:154kb
    • 提供者:hanweiwallywang
  1. PLC三层电梯控制系统课程设计报告(广工)

  2. 一、系统描述 电梯系统有两种工作模式:标准工作模式、单层工作模式;模式控制开关SA为ON时,以标准工作模式工作,SA为OFF时以单层工作模式运行。 二、系统控制要求 1、标准工作模式具体控制要求如下: 1)电梯停在1层,2F、3F均有呼叫时,则电梯上升至2F暂停,门开3秒后继续上升至3F停,门开3秒钟。 2)电梯停在1层时,按2F(2层呼叫,或在电梯内按2层),则电梯上升至2层停,2F指示灯亮,门开3秒钟。 3)电梯停在1层或2层时,按3F(3层呼叫,或在电梯内按3层),则电梯上升至3层停,3
  3. 所属分类:专业指导

    • 发布日期:2013-06-28
    • 文件大小:581kb
    • 提供者:mingqu123
  1. Verilog语言实现智能6层单电梯

  2. Verilog语言实现智能6层单电梯,在ISE平台下可直接运行的工程文件,调试通过并且有仿真
  3. 所属分类:专业指导

    • 发布日期:2014-10-27
    • 文件大小:2mb
    • 提供者:u013915638
  1. 6层电梯组态王.rar

  2. 6层电梯组态王.rar 6层电梯断开plc仿真,可正常运行,亲测/20秒无呼唤自动关门
  3. 所属分类:电信

    • 发布日期:2015-05-17
    • 文件大小:16kb
    • 提供者:qq_17186435
  1. 基于西门子S7—1200的单部六层电梯设计程序,1部6层电梯

  2. 基于西门子S7—1200的单部六层电梯设计程序,1部6层电梯。 本系统控制六层电梯, 采用集选控制方式。 为了完成设定的控制任务, 主要根据电梯输入/输出点数确定PLC 的机型。 根据电梯控制的要求, 电梯应具有内呼和外呼按钮、 行程开关、 开关门按钮, 以及相应的指示灯, 估算所需 I/O 口的数量 西门子 s7-120 电梯 程序
  3. 所属分类:讲义

    • 发布日期:2019-05-05
    • 文件大小:4mb
    • 提供者:nui111
  1. 1部6层电梯_V15.1.rar

  2. 基于西门子S7—1200的单部六层电梯设计程序,1部6层电梯。 本系统控制六层电梯, 采用集选控制方式。
  3. 所属分类:交通

    • 发布日期:2020-06-09
    • 文件大小:2mb
    • 提供者:qq_43657439
« 12 3 4 5 »