您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机实验 7段数码管

  2. 单片机实验:7段数码管。程序、Proteus仿真。
  3. 所属分类:硬件开发

    • 发布日期:2009-05-23
    • 文件大小:28672
    • 提供者:hanweiwallywang
  1. SPI主机实验-7段数码管显示

  2. SPI主机实验 7段数码管显示 ARM 可以用PROTEUS实现
  3. 所属分类:硬件开发

    • 发布日期:2009-09-02
    • 文件大小:129024
    • 提供者:lghcd
  1. 7段数码管显示实验000

  2. P1口作输出口,接数码管,编写程序,使数码管动态显示一行数据。
  3. 所属分类:C/C++

    • 发布日期:2009-09-10
    • 文件大小:43008
    • 提供者:hzbhao
  1. SPI通信方式点亮2位7段数码管应用例程

  2. SPI通信方式点亮2位7段数码管应用例程 使用74HC595 和 74HC4094 都可以哦
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:71680
    • 提供者:ayumi7
  1. 89c52单片机C语言动态扫描7段数码管程序.doc

  2. 89c52单片机C语言动态扫描7段数码管程序.doc我也是别人那里考得,希望对你有些帮助。
  3. 所属分类:C

    • 发布日期:2010-02-06
    • 文件大小:29696
    • 提供者:xiaofei558008
  1. 7段数码管译码器设计与实现

  2. 7段数码管译码器设计与实现,过程很详细,包括原理和程序,电路图
  3. 所属分类:专业指导

    • 发布日期:2010-02-07
    • 文件大小:56320
    • 提供者:feiyinzilgd
  1. VHDL实验段数码管译码器设计与实现

  2. 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果在数码管上显示。结合上次实验,将4位可逆计数器,数码管显示,分别作为两个子模块,实现在
  3. 所属分类:专业指导

    • 发布日期:2010-03-31
    • 文件大小:1024
    • 提供者:woshishuiaabbb
  1. 7段数码管的c51驱动程序

  2. c51的7段数码管的驱动程序,适当修改可以移植用于所有的单片机。
  3. 所属分类:C

    • 发布日期:2011-01-08
    • 文件大小:2048
    • 提供者:suncheng7808
  1. 7段数码管显示码获取工具

  2. 设计目的:在嵌入式系统开发中经常需要用到7段数码管,数码管显示驱动开发需要根据硬件设计推算出对应的显示码,为此制作本小工具,用于方便自动生成显示码。只需要根据硬件连线设计各段的权值,即可自动生成显示码,提高了驱动开发效率。
  3. 所属分类:其它

    • 发布日期:2011-05-15
    • 文件大小:62464
    • 提供者:shiliangwen
  1. VHDL7段数码管代码

  2. 在SOPC用VHDL实现7段数码管简短明了代码
  3. 所属分类:C++

    • 发布日期:2011-06-06
    • 文件大小:672
    • 提供者:liulxing10822
  1. 7段数码管的AVR在proteus中的仿真使用

  2. 用atmega16对2个7段数码管操作,并在proteus中仿真测试,附件包含原理图和程序。原理图在proteus7.7中测试通过。
  3. 所属分类:硬件开发

    • 发布日期:2011-07-16
    • 文件大小:37888
    • 提供者:jaz
  1. 7段数码管取值模拟器

  2. 用来模拟一个7段数码管,并将对应的数字值转化为实际所要发送的二进制码值。
  3. 所属分类:嵌入式

    • 发布日期:2011-08-11
    • 文件大小:17408
    • 提供者:zh_sh001
  1. 窗口下的8数码显示(C++写的,7段数码管显示)

  2. 这个是我自己写的一个8数码管的显示,可以在CWND类继承下来的窗口上显示数字,并且是7段数码类型。
  3. 所属分类:C++

    • 发布日期:2011-08-22
    • 文件大小:240640
    • 提供者:kolonse
  1. 7段数码管显示电路

  2. 本文详细介绍了七段数码管的结构、原理,硬件控制及实现的方法,适合初学者掌握
  3. 所属分类:硬件开发

    • 发布日期:2011-09-15
    • 文件大小:277504
    • 提供者:zyhxyh
  1. 嵌入式 4位数7段数码管

  2. 里面包括代码和项目。主要是利用嵌入式资源来进行7段数码管的演示。
  3. 所属分类:硬件开发

  1. 7段数码管控制接口实验

  2. 7段数码管控制接口实验
  3. 所属分类:电信

    • 发布日期:2013-07-25
    • 文件大小:53248
    • 提供者:u011504361
  1. 74LS47驱动7段数码管实验

  2. 74LS47驱动7段数码管实验 【开源精神】
  3. 所属分类:硬件开发

    • 发布日期:2017-06-15
    • 文件大小:560128
    • 提供者:aisc_o
  1. 7段数码管.xlsm

  2. 用VBA模拟7段数码管显示数字,因VBA不支持Picture控件,所以采用IMAGE控件实现,程序中展示一个循环,用Timer控制循环时间,从数字0显示到数字9。
  3. 所属分类:VB

    • 发布日期:2020-05-11
    • 文件大小:16384
    • 提供者:ccozkf
  1. 7段数码管显示 for Easyarm 1138 4个共阳极

  2. 7段数码管显示 for Easyarm 1138 4个共阳极
  3. 所属分类:硬件开发

    • 发布日期:2010-08-25
    • 文件大小:223232
    • 提供者:baogehaohao
  1. 7段数码管显示驱动电路参数推导

  2. 本文介绍了7段数码管显示驱动电路参数推导过程。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:54272
    • 提供者:weixin_38568548
« 12 3 4 5 6 7 8 9 10 ... 23 »