您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. SPI主机实验-7段数码管显示

  2. SPI主机实验 7段数码管显示 ARM 可以用PROTEUS实现
  3. 所属分类:硬件开发

    • 发布日期:2009-09-02
    • 文件大小:129024
    • 提供者:lghcd
  1. 7段数码管显示实验000

  2. P1口作输出口,接数码管,编写程序,使数码管动态显示一行数据。
  3. 所属分类:C/C++

    • 发布日期:2009-09-10
    • 文件大小:43008
    • 提供者:hzbhao
  1. VHDL实验段数码管译码器设计与实现

  2. 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果在数码管上显示。结合上次实验,将4位可逆计数器,数码管显示,分别作为两个子模块,实现在
  3. 所属分类:专业指导

    • 发布日期:2010-03-31
    • 文件大小:1024
    • 提供者:woshishuiaabbb
  1. 7段数码管字型码发生器

  2. 生成七段数码管显示的字符对应的编码,可以修改每段对应的引脚,不支持批量生成。
  3. 所属分类:硬件开发

    • 发布日期:2010-12-10
    • 文件大小:124928
    • 提供者:zsdcft
  1. 7段数码管显示码获取工具

  2. 设计目的:在嵌入式系统开发中经常需要用到7段数码管,数码管显示驱动开发需要根据硬件设计推算出对应的显示码,为此制作本小工具,用于方便自动生成显示码。只需要根据硬件连线设计各段的权值,即可自动生成显示码,提高了驱动开发效率。
  3. 所属分类:其它

    • 发布日期:2011-05-15
    • 文件大小:62464
    • 提供者:shiliangwen
  1. 窗口下的8数码显示(C++写的,7段数码管显示)

  2. 这个是我自己写的一个8数码管的显示,可以在CWND类继承下来的窗口上显示数字,并且是7段数码类型。
  3. 所属分类:C++

    • 发布日期:2011-08-22
    • 文件大小:240640
    • 提供者:kolonse
  1. 7段数码管显示电路

  2. 本文详细介绍了七段数码管的结构、原理,硬件控制及实现的方法,适合初学者掌握
  3. 所属分类:硬件开发

    • 发布日期:2011-09-15
    • 文件大小:277504
    • 提供者:zyhxyh
  1. DS1302实时时钟7段数码管显示

  2. 自己写的,在STC90C51环境下,用DS1302做到实时时钟,使用2个4位7段数码管显示,能显示时间:时-分-秒,和日期:年-月-日,和星期,以及设置各个时间,其中功能选择由Key1(连接P1.0)控制,设置项选择由Key2(P1.1)控制,Key3(P1.2)为增,Key4(P1.3)为减。
  3. 所属分类:硬件开发

    • 发布日期:2012-05-04
    • 文件大小:46080
    • 提供者:wenwu1225
  1. 4位7段数码管循环显示

  2. 基于51单片机的7段数码管显示,4位共阴的,里面介绍了它的引脚和动态显示方法
  3. 所属分类:硬件开发

    • 发布日期:2012-05-23
    • 文件大小:2097152
    • 提供者:haimeiwu
  1. VHDL实现7段数码管

  2. VHDL语言实现7段数码管显示程序,并在数码管上能够逐一点亮数码管
  3. 所属分类:硬件开发

  1. 74HC595驱动两个7段数码管

  2. 74HC595驱动两个7段数码管/共阳极数码管,先显示A~H各段,确认各段电路是否正常,然后显示0~F。
  3. 所属分类:C/C++

    • 发布日期:2013-11-22
    • 文件大小:209920
    • 提供者:u012915545
  1. 单片机实现7段数码管显示

  2. 这是一份单片机实现7段数码管显示的原理图文件
  3. 所属分类:电信

    • 发布日期:2013-11-27
    • 文件大小:23552
    • 提供者:u012979674
  1. 4位7段数码管循环显示实验报告

  2. 数字电路实验中的4位7段数码管循环显示实验的实验报告 新型单片机实践
  3. 所属分类:硬件开发

    • 发布日期:2013-12-11
    • 文件大小:2097152
    • 提供者:u012603457
  1. 数码管显示大部分字符的方案(7段数码管)

  2. 本资源是给数码管显示提供了一个简单的方案。可以显示大部分部分的字符。其中包括:26个大小写字母(有重复)+ 0-9十个数字。资源最后还有相应的数码管显示的数组,供编程使用。
  3. 所属分类:C

    • 发布日期:2014-12-26
    • 文件大小:192512
    • 提供者:z21109
  1. 单片机驱动数码管显示

  2. 单片机驱动数码管显示 学习单片机驱动数码管动态显示的电路设计和编程方法 理解动态显示电路图,参考驱动程序,单片机P0口作段码输出控制,P1口作位码控制,使单片机驱动6个7段数码管输出实验当天年、月、日六位数字。
  3. 所属分类:专业指导

    • 发布日期:2015-05-09
    • 文件大小:402432
    • 提供者:qq_24859391
  1. 7段数码管显示Verilog程序

  2. 此压缩文件为计数器和7段数码管显示功能,使用Verilog语言描述的模块
  3. 所属分类:其它

    • 发布日期:2019-01-25
    • 文件大小:15360
    • 提供者:weixin_43617219
  1. 7段数码管.xlsm

  2. 用VBA模拟7段数码管显示数字,因VBA不支持Picture控件,所以采用IMAGE控件实现,程序中展示一个循环,用Timer控制循环时间,从数字0显示到数字9。
  3. 所属分类:VB

    • 发布日期:2020-05-11
    • 文件大小:16384
    • 提供者:ccozkf
  1. 7段数码管显示 for Easyarm 1138 4个共阳极

  2. 7段数码管显示 for Easyarm 1138 4个共阳极
  3. 所属分类:硬件开发

    • 发布日期:2010-08-25
    • 文件大小:223232
    • 提供者:baogehaohao
  1. 7段数码管显示驱动电路参数推导

  2. 本文介绍了7段数码管显示驱动电路参数推导过程。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:54272
    • 提供者:weixin_38568548
  1. EDA/PLD中的基于FPGA的8段数码管动态显示IP核设计

  2. 引言   数码管可显示简单的字符和数字,由于其价格低廉、性能稳定、显示清晰、亮度高、使用电压低、寿命长,在工业生产、交通运输、仪器仪表及家用电器等场合得到广泛应用。然而,开发基于NiosⅡ的嵌入式系统时,Builder开发工具中没有提供现成的数码管显示IP核,这使设计者工作量增加。这里把数码管控制器设计为一个共阴极(或共阳极)7段数码管动态显示IP核,并给出此核的一个参考驱动程序。在系统设计中,可根据实际需求,把此核直接例化成1~8个共阴极(或共阳极)数码管显示控制器,控制1~8个共阴极(或共
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:373760
    • 提供者:weixin_38587924
« 12 3 4 5 6 7 8 9 10 ... 18 »