点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 7段显示
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
SPI主机实验-7段数码管显示
SPI主机实验 7段数码管显示 ARM 可以用PROTEUS实现
所属分类:
硬件开发
发布日期:2009-09-02
文件大小:126kb
提供者:
lghcd
7段LED字形编码器(有共阴极和共阳极两种模式)
一款简单易用的7段LED编码工具,如果用7段LEd数码管显示需要编码可借助该软件实现。有共阴极和共阳极两种模式。
所属分类:
专业指导
发布日期:2010-10-16
文件大小:52kb
提供者:
damlaoqi
7段数码管显示码获取工具
设计目的:在嵌入式系统开发中经常需要用到7段数码管,数码管显示驱动开发需要根据硬件设计推算出对应的显示码,为此制作本小工具,用于方便自动生成显示码。只需要根据硬件连线设计各段的权值,即可自动生成显示码,提高了驱动开发效率。
所属分类:
其它
发布日期:2011-05-15
文件大小:61kb
提供者:
shiliangwen
eda实验修改7段码的值,来显示你要显示的数
你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity decl7s is
所属分类:
软件测试
发布日期:2011-05-19
文件大小:804byte
提供者:
sky007lh
窗口下的8数码显示(C++写的,7段数码管显示)
这个是我自己写的一个8数码管的显示,可以在CWND类继承下来的窗口上显示数字,并且是7段数码类型。
所属分类:
C++
发布日期:2011-08-22
文件大小:235kb
提供者:
kolonse
按钮进行加一
7段显示用按钮进行加一,按一下,就加一。就这样了,新手用,老鸟就不要喷了。
所属分类:
其它
发布日期:2012-01-03
文件大小:580byte
提供者:
zsm032
ISE6进制计数器7段数字译码管显示
6进制计数器 7段数字显示基于ISE环境Verilog语言
所属分类:
其它
发布日期:2015-12-14
文件大小:175kb
提供者:
baidu_33364451
EDA7段数码译码电路
这是一个EDA相关的介绍7段显示译码电路的实验,对学习EDA的初学者有一定帮助
所属分类:
其它
发布日期:2018-05-27
文件大小:709kb
提供者:
wangcan35
BCD 码转7 段显示码的程序,通过外部开关控制数码管显示数字0-9
设计一个完整的组合逻辑电路,并用Quartus 进行综合,用Modelsim 进行仿真,并将综合 的结果下载到实验箱中,测试电路的正确性。 要求:设计一个BCD 码转7 段显示码的程序,通过外部开关控制数码管显示数字0-9,大 于9 时应该无显示。
所属分类:
硬件开发
发布日期:2019-05-01
文件大小:16mb
提供者:
qq_41483546
7段数码管.xlsm
用VBA模拟7段数码管显示数字,因VBA不支持Picture控件,所以采用IMAGE控件实现,程序中展示一个循环,用Timer控制循环时间,从数字0显示到数字9。
所属分类:
VB
发布日期:2020-05-11
文件大小:16kb
提供者:
ccozkf
7段数码管显示 for Easyarm 1138 4个共阳极
7段数码管显示 for Easyarm 1138 4个共阳极
所属分类:
硬件开发
发布日期:2010-08-25
文件大小:218kb
提供者:
baogehaohao
7段数码管显示驱动电路参数推导
本文介绍了7段数码管显示驱动电路参数推导过程。
所属分类:
其它
发布日期:2020-07-25
文件大小:53kb
提供者:
weixin_38568548
6位7段LED数码管显示实验
本文主要介绍了6位7段LED数码管显示实验
所属分类:
其它
发布日期:2020-08-02
文件大小:33kb
提供者:
weixin_38625048
显示/光电技术中的关于4位7段LED显示器原理
通常使用的4位LED显示器如图所示,其内部由多只发光二极管构成,按连接方式不同可分为共阳极与共阴极两种。在显示驱动方式中,采用 动态扫描技术。当扫描到n1~n4公共端时,LED驱动器分别对应输出a~dp显示段,LED就能正常显示。在向定制LED显示驱动器芯片中,LPC系列 中的P87LPC762单片机芯片具有较好的端口设置能力与较强的内部功能,可以通过编程来设置其引脚功能9使其作为LED显示器的驱动芯片。 图 4位LED显示器 看来我真是Out了居然不知道有4位7段的LED显示器,前几天
所属分类:
其它
发布日期:2020-11-07
文件大小:46kb
提供者:
weixin_38551046
显示/光电技术中的使用MAX6954驱动7段LED显示器
MAX6954是一个通用显示驱动器,能够通过一个串口控制多个分立、7段、14段或16段LED显示器。本篇应用笔记介绍驱动8位单色、7段LED显示器的典型应用与配置。 有关MAX6954的详细信息请参考MAX6954的数据资料。 应用笔记"使用MAX6954驱动16段LED显示器" 和"使用MAX6954驱动14段LED显示器" 与本文类似,分别介绍了如何配置MAX6954驱动16段和14段显示器。
所属分类:
其它
发布日期:2020-11-30
文件大小:118kb
提供者:
weixin_38739744
7段显示--源码
7段显示- 使用Switch在7段微控制器上显示数字所用:Atmega AT90USB1286编程器所用:Jtag,AVR DRAGON开发板
所属分类:
其它
发布日期:2021-03-03
文件大小:2kb
提供者:
weixin_42099633
Open7SClock:开源7段显示时钟-源码
Open7SClock 开源7段显示时钟 便宜的无线控制7段显示时钟。 在法国,如果您拥有3D打印机,则价格约为-23欧元: 14.60€Raspberry Pi零WH( ) 6.07€3x TM1637( ) ≈1€PLA塑料长丝 <1€4x M2.5x8螺丝和螺母 <1€12x M2x16螺丝和螺母 建立 步骤1 打印零件: 1个容器 1个盖子 12x垫片 第2步 将Raspberry Pi Zero拧入容器中的适当位置。 第三步 按照此图连接七个分段显示器:
所属分类:
其它
发布日期:2021-02-12
文件大小:40mb
提供者:
weixin_42133329
DigitalClock-ISR-RS485:微处理器系统类微型项目。 数字时钟利用ATMEGA的ISR溢出定时器向LCD和7段显示时间,并使用MODBUS RS485连接到PC-源码
TUBES SISMIK组件: Arduino Uno I2C液晶屏 7段TM1673芯片 MAX485 TTL转MODBUS RS-485模块 rs-485转USB适配器 使用arduino创建的程序。 用于ISR定时器溢出的中断。 HMI界面在Visual Studio中使用Windows窗体创建,而C#PCB是使用Eagle创建的
所属分类:
其它
发布日期:2021-02-08
文件大小:87kb
提供者:
weixin_42157166
设计一.控制系统并仿真:包括设计3个按键(A、B、C键),8个LED和2位7段数
23、设计一.控制系统并仿真:包括设计3个按键(A、B、C键),8个LED和2位7段数码 管。按A键加10,按B键减1设置循环次数(00-99),数码管显示跑马灯要循环次数,按 C键后8个跑马灯左循环,每跑完轮, 循环次数减 1并显示,同时按 A+B键,跑马灯的 速度加快,直到循环次数为0时暂停。
所属分类:
嵌入式
发布日期:2021-01-19
文件大小:1mb
提供者:
qq_43351196
使用Arduino控制单个7段显示-项目开发
这是有关如何使用Arduino控制7段显示的简单指南
所属分类:
其它
发布日期:2021-03-31
文件大小:238kb
提供者:
weixin_38751537
«
1
2
3
4
5
6
7
8
9
10
...
50
»