您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. SPI主机实验-7段数码管显示

  2. SPI主机实验 7段数码管显示 ARM 可以用PROTEUS实现
  3. 所属分类:硬件开发

    • 发布日期:2009-09-02
    • 文件大小:126kb
    • 提供者:lghcd
  1. 7段LED字形编码器(有共阴极和共阳极两种模式)

  2. 一款简单易用的7段LED编码工具,如果用7段LEd数码管显示需要编码可借助该软件实现。有共阴极和共阳极两种模式。
  3. 所属分类:专业指导

    • 发布日期:2010-10-16
    • 文件大小:52kb
    • 提供者:damlaoqi
  1. 7段数码管显示码获取工具

  2. 设计目的:在嵌入式系统开发中经常需要用到7段数码管,数码管显示驱动开发需要根据硬件设计推算出对应的显示码,为此制作本小工具,用于方便自动生成显示码。只需要根据硬件连线设计各段的权值,即可自动生成显示码,提高了驱动开发效率。
  3. 所属分类:其它

    • 发布日期:2011-05-15
    • 文件大小:61kb
    • 提供者:shiliangwen
  1. eda实验修改7段码的值,来显示你要显示的数

  2. 你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity decl7s is
  3. 所属分类:软件测试

    • 发布日期:2011-05-19
    • 文件大小:804byte
    • 提供者:sky007lh
  1. 窗口下的8数码显示(C++写的,7段数码管显示)

  2. 这个是我自己写的一个8数码管的显示,可以在CWND类继承下来的窗口上显示数字,并且是7段数码类型。
  3. 所属分类:C++

    • 发布日期:2011-08-22
    • 文件大小:235kb
    • 提供者:kolonse
  1. 按钮进行加一

  2. 7段显示用按钮进行加一,按一下,就加一。就这样了,新手用,老鸟就不要喷了。
  3. 所属分类:其它

    • 发布日期:2012-01-03
    • 文件大小:580byte
    • 提供者:zsm032
  1. ISE6进制计数器7段数字译码管显示

  2. 6进制计数器 7段数字显示基于ISE环境Verilog语言
  3. 所属分类:其它

    • 发布日期:2015-12-14
    • 文件大小:175kb
    • 提供者:baidu_33364451
  1. EDA7段数码译码电路

  2. 这是一个EDA相关的介绍7段显示译码电路的实验,对学习EDA的初学者有一定帮助
  3. 所属分类:其它

    • 发布日期:2018-05-27
    • 文件大小:709kb
    • 提供者:wangcan35
  1. BCD 码转7 段显示码的程序,通过外部开关控制数码管显示数字0-9

  2. 设计一个完整的组合逻辑电路,并用Quartus 进行综合,用Modelsim 进行仿真,并将综合 的结果下载到实验箱中,测试电路的正确性。 要求:设计一个BCD 码转7 段显示码的程序,通过外部开关控制数码管显示数字0-9,大 于9 时应该无显示。
  3. 所属分类:硬件开发

    • 发布日期:2019-05-01
    • 文件大小:16mb
    • 提供者:qq_41483546
  1. 7段数码管.xlsm

  2. 用VBA模拟7段数码管显示数字,因VBA不支持Picture控件,所以采用IMAGE控件实现,程序中展示一个循环,用Timer控制循环时间,从数字0显示到数字9。
  3. 所属分类:VB

    • 发布日期:2020-05-11
    • 文件大小:16kb
    • 提供者:ccozkf
  1. 7段数码管显示 for Easyarm 1138 4个共阳极

  2. 7段数码管显示 for Easyarm 1138 4个共阳极
  3. 所属分类:硬件开发

    • 发布日期:2010-08-25
    • 文件大小:218kb
    • 提供者:baogehaohao
  1. 7段数码管显示驱动电路参数推导

  2. 本文介绍了7段数码管显示驱动电路参数推导过程。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:53kb
    • 提供者:weixin_38568548
  1. 6位7段LED数码管显示实验

  2. 本文主要介绍了6位7段LED数码管显示实验
  3. 所属分类:其它

    • 发布日期:2020-08-02
    • 文件大小:33kb
    • 提供者:weixin_38625048
  1. 显示/光电技术中的关于4位7段LED显示器原理

  2. 通常使用的4位LED显示器如图所示,其内部由多只发光二极管构成,按连接方式不同可分为共阳极与共阴极两种。在显示驱动方式中,采用 动态扫描技术。当扫描到n1~n4公共端时,LED驱动器分别对应输出a~dp显示段,LED就能正常显示。在向定制LED显示驱动器芯片中,LPC系列 中的P87LPC762单片机芯片具有较好的端口设置能力与较强的内部功能,可以通过编程来设置其引脚功能9使其作为LED显示器的驱动芯片。   图 4位LED显示器  看来我真是Out了居然不知道有4位7段的LED显示器,前几天
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:46kb
    • 提供者:weixin_38551046
  1. 显示/光电技术中的使用MAX6954驱动7段LED显示器

  2. MAX6954是一个通用显示驱动器,能够通过一个串口控制多个分立、7段、14段或16段LED显示器。本篇应用笔记介绍驱动8位单色、7段LED显示器的典型应用与配置。 有关MAX6954的详细信息请参考MAX6954的数据资料。        应用笔记"使用MAX6954驱动16段LED显示器" 和"使用MAX6954驱动14段LED显示器" 与本文类似,分别介绍了如何配置MAX6954驱动16段和14段显示器。                                      
  3. 所属分类:其它

    • 发布日期:2020-11-30
    • 文件大小:118kb
    • 提供者:weixin_38739744
  1. 7段显示--源码

  2. 7段显示- 使用Switch在7段微控制器上显示数字所用:Atmega AT90USB1286编程器所用:Jtag,AVR DRAGON开发板
  3. 所属分类:其它

  1. Open7SClock:开源7段显示时钟-源码

  2. Open7SClock 开源7段显示时钟 便宜的无线控制7段显示时钟。 在法国,如果您拥有3D打印机,则价格约为-23欧元: 14.60€Raspberry Pi零WH( ) 6.07€3x TM1637( ) ≈1€PLA塑料长丝 <1€4x M2.5x8螺丝和螺母 <1€12x M2x16螺丝和螺母 建立 步骤1 打印零件: 1个容器 1个盖子 12x垫片 第2步 将Raspberry Pi Zero拧入容器中的适当位置。 第三步 按照此图连接七个分段显示器:
  3. 所属分类:其它

    • 发布日期:2021-02-12
    • 文件大小:40mb
    • 提供者:weixin_42133329
  1. DigitalClock-ISR-RS485:微处理器系统类微型项目。 数字时钟利用ATMEGA的ISR溢出定时器向LCD和7段显示时间,并使用MODBUS RS485连接到PC-源码

  2. TUBES SISMIK组件: Arduino Uno I2C液晶屏 7段TM1673芯片 MAX485 TTL转MODBUS RS-485模块 rs-485转USB适配器 使用arduino创建的程序。 用于ISR定时器溢出的中断。 HMI界面在Visual Studio中使用Windows窗体创建,而C#PCB是使用Eagle创建的
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:87kb
    • 提供者:weixin_42157166
  1. 设计一.控制系统并仿真:包括设计3个按键(A、B、C键),8个LED和2位7段数

  2. 23、设计一.控制系统并仿真:包括设计3个按键(A、B、C键),8个LED和2位7段数码 管。按A键加10,按B键减1设置循环次数(00-99),数码管显示跑马灯要循环次数,按 C键后8个跑马灯左循环,每跑完轮, 循环次数减 1并显示,同时按 A+B键,跑马灯的 速度加快,直到循环次数为0时暂停。
  3. 所属分类:嵌入式

    • 发布日期:2021-01-19
    • 文件大小:1mb
    • 提供者:qq_43351196
  1. 使用Arduino控制单个7段显示-项目开发

  2. 这是有关如何使用Arduino控制7段显示的简单指南
  3. 所属分类:其它

    • 发布日期:2021-03-31
    • 文件大小:238kb
    • 提供者:weixin_38751537
« 12 3 4 5 6 7 8 9 10 ... 50 »