您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 程序举例打包,应该有你想要的

  2. VHDL 程序举例 文件夹中包括下面程序 -------------------------------------------------------------------------------- NOTE:该程序参考FPGA中文网站 重要说明:不同软件对VHDL语法的支持范围是不一样的,以下程序中的某些语句可能不能运行在所有的软件平台之上,因此程序可能要作一些修改,同时务必注意阅读程序中的注释。以下部分程序为txt格式,请自行另存为vdh后缀的文件。有些EDA软件要求ENTITY的名称
  3. 所属分类:网络攻防

    • 发布日期:2009-07-23
    • 文件大小:43008
    • 提供者:engddy
  1. VHDL 程序举例,设计很多基础试验

  2. 最高优先级编码器、8位相等比较器 、优先编码器、8位大小比较器 、8位总线收发器:74245 (注2)、地址译码(for m68008) 、三人表决器(三种不同的描述方式) LED七段译码 (注1) 、多路选择器(使用if-else语句)、双2-4译码器:74139 、多路选择器(使用when-else语句)、汉明纠错吗编码器 、多路选择器(使用select语句)、汉明纠错吗译码器 、加法器描述 、四D74175 用状态机实现的计数器 、简单的锁存器、各种功能的计数器 、简单的12位寄存器 模
  3. 所属分类:专业指导

    • 发布日期:2010-05-15
    • 文件大小:68608
    • 提供者:e21702924h
  1. 74139译码器vhdl设计

  2. 本设计实现了74139译码器的vhdl语言实现,实现形式为行为描述方式。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-23
    • 文件大小:2048
    • 提供者:lihejun3
  1. 74139译码器的testbench的vhdl语言

  2. 本设计实现了74139译码器testbench的vhdl语言实现,可有效验证其功能。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-23
    • 文件大小:4096
    • 提供者:lihejun3