您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 74HC164 移位寄存器

  2. 8位并行输出串行移位寄存器 74HC164 引脚如下: 1---串行输入A;2---串行输入B; 3---输出A;4---输出B;5---输出C;6---输出D; 10--输出E;11--输出F;12--输出G;13--输出H; 7---GND;8---时钟;9---清除;14--VCC;
  3. 所属分类:C++

    • 发布日期:2009-05-26
    • 文件大小:195584
    • 提供者:songyunliang
  1. 74HC164 8位移位寄存器(并入并出)

  2. 74HC164 8位移位寄存器(并入并出)
  3. 所属分类:其它

    • 发布日期:2009-06-01
    • 文件大小:250880
    • 提供者:linrumiao
  1. verilog编写的8位移位寄存器

  2. 此程序是用verilog语言编写的8位移位寄存器,已经通过了验证!
  3. 所属分类:专业指导

    • 发布日期:2010-03-19
    • 文件大小:381
    • 提供者:fengyingjia
  1. 8位双向移位寄存器的设计与实现

  2. 用MAX+PLUS 实现8位双向移位寄存器的设计与实现 完成 波形仿真 功能仿真
  3. 所属分类:嵌入式

  1. 74HC595中文资料

  2. 74HC595是具有8位移位寄存器和一个存储器,三态输出功能。 移位寄存器和存储器是分别的时钟。数据在SCHcp的上升沿输入,在STcp的上升沿进入的存储寄存器中去。如果两个时钟连在一起,则移位
  3. 所属分类:其它

  1. 74ls164串行移位寄存器

  2. 74HC164、74HCT164 是高速硅门 CMOS 器件,与低功耗肖特基型 TTL (LSTTL) 器件的引脚兼容。74HC164、74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。   时钟 (CP) 每次由低变高时,数据右移一位,输入到 Q0, Q0 是两个数据输入端(DSA和 D
  3. 所属分类:硬件开发

    • 发布日期:2012-01-09
    • 文件大小:145408
    • 提供者:cimu503
  1. 8位移位寄存器

  2. 8位移位寄存器
  3. 所属分类:C++

    • 发布日期:2012-09-27
    • 文件大小:697
    • 提供者:liuzhemin12
  1. 移位寄存器

  2. VHDL语言设计,基于VHDL的8位移位寄存器
  3. 所属分类:硬件开发

    • 发布日期:2012-10-29
    • 文件大小:591
    • 提供者:dyzhlm
  1. C51单片机寄存器功能

  2. C51单片机寄存器功能.doc 8051单片机的引脚图 特殊功能寄存器 SCON 串行口控制寄存器 D7 D6 D5 D4 D3 D2 D1 D0 字节地址 SM0 SM1 SM2 REN TB8 RB8 TI RI 98H (1)SM0,SM1:串行口工作方式选择位。各位的状态对应的方式功能如下 SM0 SM1 方式 功能说明 0 0 0 同步移位寄存器方式(用于扩展I/O口) 0 1 1 8位异步收发,波特率可变(由定时器控制) 1 0 2 9位异步收发,波特率位Fosc/64或Fosc/
  3. 所属分类:C/C++

    • 发布日期:2013-06-20
    • 文件大小:167936
    • 提供者:zgd_88
  1. 8位双向移位寄存器的设计与实现

  2. 用MAX+PLUS 实现8位双向移位寄存器的设计与实现 完成 波形仿真 功能仿真
  3. 所属分类:嵌入式

    • 发布日期:2008-11-22
    • 文件大小:45056
    • 提供者:luodan24
  1. 具体程序 VHDL程序实例

  2. 程序中主要包括: 最高优先级编码器 8位相等比较器 三人表决器 加法器描述 8位总线收发器 地址译码 多路选择器 LED七段译码 多路选择器 双2-4译码器 多路选择器 汉明纠错码编码器 双向总线 汉明纠错吗译码器 三态总线 时序逻辑: 四D触发器 用状态机实现的计数器 简单的锁存器 各种功能的计数器 简单的12位寄存器 通用寄存器 带load、clr等功能的寄存器 带三态输出的8位D寄存器 移位寄存器 存储器举例 状态机举例 一个简单的状态机 莫尔型状态机1 使用列举类型的状态机 莫尔型状态
  3. 所属分类:网络攻防

    • 发布日期:2009-02-25
    • 文件大小:20480
    • 提供者:rxiaolu
  1. 计算机组成原理——八位移位寄存器上机报告

  2. 计算机组成原理上机报告,Verilog语言实现8位移位寄存器,并且仿真波形。实验环境为Quartus II,编程语言Verilog,文档排版使用LaTeX,内附LaTeX源文件,可修改。
  3. 所属分类:硬件开发

    • 发布日期:2018-11-29
    • 文件大小:3145728
    • 提供者:weixin_39457086
  1. 74系列8位移位寄存器TM74HC164

  2. 74系列8位移位寄存器TM74HC164,串行输入,并行输出,具有与门方式的串行输入和异步清零端。
  3. 所属分类:硬件开发

    • 发布日期:2019-05-06
    • 文件大小:339968
    • 提供者:fred175320
  1. 简单的线性反馈移位寄存器(LFSR)C语言实现

  2. 1)利用C\C++语言实现给定的LFSR; 2)通过不同初始状态生成相应的序列,并观察它们的周期有什么特点; 3)利用生成的序列对文本进行加/解密(按对应位作模2加运算)。 步骤: (1)生成序列:使用#include库构造序列,使用bint.to_string()将输入的01串转成字符串,用str暂存。将bint[3]和bint[0]异或,赋值给bint[4]也就是a5,循环左移,将bint转成字符串后s1[4]就是生成的a1,将 a1添加到s2后面。当str与现在的bint相同,即周
  3. 所属分类:其它

    • 发布日期:2020-04-15
    • 文件大小:3072
    • 提供者:weixin_44219914
  1. 基于51单片机实现74LS164串入并出移位寄存器

  2. 对于串入并出移位寄存器以下是我个人的理解和实际开发工程中得出的经验。一个8位串入数据输入, 8位并行输出。可以看出先移的是高位,就是第一个位进去的到最后会在最高位。
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:95232
    • 提供者:weixin_38508497
  1. 在LED设计中使用移位寄存器缩小尺寸并降低BOM

  2. 当设计中含有LED时,采用移位寄存器使得尺寸更小、成本更低的微控制器应用成为可能。包括恩智浦在内的很多供应商都提供标准8位移位寄存器(比如75HC595)。使用集成开漏输出的移位寄存器(比如恩智浦NPIC6C系列)则可在此基础上更进一步,无需使用外部LED驱动器。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:250880
    • 提供者:weixin_38692162
  1. Coo1Runner-Ⅱ器件实现SPI发送数据寄存器(SPITR)

  2. 待发送到MOSI的8位数据存储在此寄存器中,一旦SPITR的数据被装入SPI发送移位寄存器,XMIT_EMPTY信号将变为有效。这时,8051可以将下一个待发的数据装入SPITR。    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:24576
    • 提供者:weixin_38732252
  1. Coo1Runner-Ⅱ器件实现SPI发送移位寄存器

  2. SPI发送移位寄存器是一个8位可装载移位寄存器,其数据从SPITR中装入。该寄存器由SCK INT时钟控制,数据移位输出到M0SI,如图所示。   如图 SPI发送移位寄存器    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:55296
    • 提供者:weixin_38732740
  1. EDA/PLD中的EDA典型单元电路的移位寄存器

  2. 移位寄存器除了具有存储代码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下依次左移或右移。因此,移位寄存器不但可以用来寄存代码,还可用来实现数据的串并转换、数值的运算以及数据处理等。   【例】 用VHDL语言设计一个8位的移位寄存器,使其具有左移一位或右移一位、并行输入和同步复位的功能,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 移位寄存器SHIFT_REG的仿真图    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:181248
    • 提供者:weixin_38502183
  1. EDA典型单元电路的移位寄存器

  2. 移位寄存器除了具有存储代码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下依次左移或右移。因此,移位寄存器不但可以用来寄存代码,还可用来实现数据的串并转换、数值的运算以及数据处理等。   【例】 用VHDL语言设计一个8位的移位寄存器,使其具有左移一位或右移一位、并行输入和同步复位的功能,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 移位寄存器SHIFT_REG的仿真图    :
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:239616
    • 提供者:weixin_38620267
« 12 3 4 5 6 7 8 9 10 ... 21 »