您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. arm-linux-gnueabihf-gdb-8.2_Ubuntu16.04.tar.gz

  2. Ubuntu16.04系统下使用arm-linux-gnueabihf-gdb arm-linux-gnueabihf-gdb-8.2_Ubuntu16.04
  3. 所属分类:Linux

  1. 在s = 8 $$ \ sqrt {s} = 8 $$ TeV的质子-质子碰撞中测量W玻色子和两个前向喷流的电弱产生

  2. 给出了在质子能量为8 TeV的质子-质子碰撞中,与两个射流结合产生的W玻色子电弱产生的横截面的测量结果。 用CMS检测器收集数据集,其对应于19.3fb -1的综合光度。 W玻色子衰变为电子或μ子的基准截面,p T j1> 60 GeV,p T j2> 50 GeV,| | ηj | 1000 GeV为0。 42±0。 04(stat)±0。 09(系统)±0。 01(lumi)铅 此结果与标准模型前导预测0一致。 50±0。 02(刻度)±0。 02(PDF)
  3. 所属分类:其它

    • 发布日期:2020-03-24
    • 文件大小:735232
    • 提供者:weixin_38576045
  1. ejdk-8u201-linux-armv6-vfp-hflt.tar.gz 树莓派的JDK1.8

  2. 用于树莓派的JDK,可能使用到centos和ubuntu上,在ubuntu18.04上测试已经通过,无需使用yum和apt-get安装使用解决配置环境后直接使用,需要的是将ejdk1.8.0_201\linux_armv6_vfp_hflt\jre\bin目录下所有的文件复制到ejdk1.8.0_201\bin目录下,将ejdk1.8.0_201\linux_armv6_vfp_hflt\jre\lib目录下所有的文件复制到ejdk1.8.0_201\lib目录下。
  3. 所属分类:Java

    • 发布日期:2020-03-14
    • 文件大小:119537664
    • 提供者:y31307
  1. ZED_SDK_Ubuntu18_v2.8.4.run

  2. ZED相机驱动,版本2.8.4,新版本的sdk与老版本有所差异,更新之后以前程序不能正常运行。此软件包在Ubuntu18.04下测试正常。
  3. 所属分类:其它

    • 发布日期:2020-03-14
    • 文件大小:78643200
    • 提供者:qq_18676517
  1. oracle_jce_policy-8.zip

  2. jce_policy-8.zip下载 04-19 AES加密扩展包因为某些国家的进口管制限制,Java发布的运行环境包中的加解密有一定的限制。
  3. 所属分类:Oracle

    • 发布日期:2020-02-21
    • 文件大小:8192
    • 提供者:qq_31514235
  1. 04.中国银联全渠道支付平台产品接口规范-商户侧开通.pdf

  2. 全渠道平台产品接口规范 产品4 互联网支付无跳转支付产品 ——商户侧开通 版本号:V2.2 目 次 前 言 ............................................................................. VI 1 范围 ................................................................................ 1 2 规范性引用文件 ..........
  3. 所属分类:金融

    • 发布日期:2020-02-20
    • 文件大小:3145728
    • 提供者:okok900
  1. deepin.com.wechat_2.6.8.65deepin0_i386.rely.deb

  2. 通过修改安装包依赖,解决ubuntu16.04安装deepin微信微信2.6.8无法安装问题。 dpkg: dependency problems prevent configuration of deepin.com.wechat: deepin.com.wechat depends on deepin-wine (>= 2.18-19); however: Version of deepin-wine on system is 2.18-12. deepin.com.w
  3. 所属分类:Ubuntu

    • 发布日期:2020-02-19
    • 文件大小:41943040
    • 提供者:qq_38883889
  1. Systemback_Install_Pack_v1.8.402.tar.xz

  2. 乌班图系统备份,制作光盘镜像的工具 This Install Pack contains the Systemback Debian installation packages. These packages are compatible with the following Linux distributions: - Ubuntu 14.04.X LTS (Trusty Tahr) - Ubuntu 15.04 (Vivid Vervet) - Ubuntu 15.10 (W
  3. 所属分类:Linux

    • 发布日期:2020-02-04
    • 文件大小:24117248
    • 提供者:jms939372
  1. PromAnsible的Dockerfile(Ubuntu16.04系统)

  2. PromAnsible官网Github地址:https://github.com/cloudfirst/PromAnsible 根据官网文件:https://github.com/cloudfirst/promansible-Install/blob/master/playbook/roles/monitor/tasks/main.yml 的安装流程整理编写出的构建Docker镜像的Dockerfile。 其中的文件:PromAnsible/promansible_build_202001
  3. 所属分类:其它

    • 发布日期:2020-01-17
    • 文件大小:66060288
    • 提供者:ccwwdd
  1. RoseHA-9.0-for-Windows维护手册-v2.0-2015-04.pdf

  2. Rose HA双机热备软件,包含集群部署、基本操作、维护和管理及常见问题今RS|E 维护于册 创建心跳线以后,心跳图标状态为 创建文件共享资源时,没有显示共享日录 的高可用资源是否都需要配置 应用服务切换之后客户端访问不了虚拟 五、常见问题 的管理账户 掉电后,共享卷未及时挂载? 更换服务器或重装操作系统后,如何同步配置? 仅能一台服务器联机共享磁盘,如何操作? 应用服务的资源类型 仲裁磁盘是否必须配冒? 仲裁磁盘是否有大小限制? 部署后,在上线前哪些验证操作 集群,什么情况下会进行切换 部署环
  3. 所属分类:群集服务

    • 发布日期:2019-10-12
    • 文件大小:1048576
    • 提供者:muyangjun
  1. 日立变频器PID参数调整说明04.9.8..doc

  2. 日立变频器PID参数调整说明04.9.8.doc,日立变频器PID参数调整说明04.9.8.
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:476160
    • 提供者:weixin_38743602
  1. ACS880-04硬件手册.pdf

  2. ABB ACS系列变频器,ACS880-04硬件手册,专业手册!硬件手册 Acs880-04传动模块 (200到560kW,300到700hp) 目录 1.安全须知 △ 6.安装说明 10.启动 c2015北京ABB电气传动系统有限公司 3AXD50000023005版本A中文 基于3AUA0000128301版本C英文 生效日期:2015-01-01 目录 相关手册列表 2 1.安全须知 本章内容 13 警告和提示的使用 13 安装、启动和维护中的一般安全事项 ,14 安装、启动和维护中的
  3. 所属分类:其它

    • 发布日期:2019-10-04
    • 文件大小:39845888
    • 提供者:w461149386
  1. DS_N76E616_SC_Rev1.04.pdf

  2. DS_N76E616_SC_Rev1.04nuvoTon N76E616规格书 地址自动识别 总线 功能描述 起始 及结束 条件 位地址和数据格式 应答 仲裁 控制寄存器 工作模式 主机发送模式 主机接收模式 从机接收模式 从机发送模式 广播呼叫模式 状态字 中断服务程序范例 超时 中断 引脚中断 位模数转换 功能描述 工作方式 转换结果比较器 控制寄存器 驱动 功能描述 控制寄存器 程序流程 时控保护 中断系统 中断概述 中断使能 中断优先级 中断服务 中断延迟 外部屮断 在应用编程 命令 用
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:2097152
    • 提供者:weixin_38743968
  1. 方舟编译器工具openarkcompiler - clang+llvm-8.0.0-x86_64-linux-gnu-ubuntu-16.04.tar.xz0

  2. 方舟编译器工具openarkcompiler # 安装Clang编译器并完成配置(用于编译方舟编译器代码) 下载**clang+llvm-8.0.0-x86_64-linux-gnu-ubuntu-16.04** LLVM下载地址:http://releases.llvm.org/download.html#8.0.0 放置到`openarkcompiler/tools`目录,打开`openarkcompiler/build/config/BUILDCONFIG.gn`文件,将`GN_C_C
  3. 所属分类:其它

    • 发布日期:2019-09-07
    • 文件大小:209715200
    • 提供者:liujiquan1009
  1. 方舟编译器工具openarkcompiler - clang+llvm-8.0.0-x86_64-linux-gnu-ubuntu-16.04.tar.xz2

  2. 方舟编译器工具openarkcompiler # 安装Clang编译器并完成配置(用于编译方舟编译器代码) 下载**clang+llvm-8.0.0-x86_64-linux-gnu-ubuntu-16.04** LLVM下载地址:http://releases.llvm.org/download.html#8.0.0 放置到`openarkcompiler/tools`目录,打开`openarkcompiler/build/config/BUILDCONFIG.gn`文件,将`GN_C_C
  3. 所属分类:其它

    • 发布日期:2019-09-07
    • 文件大小:112197632
    • 提供者:liujiquan1009
  1. 安国FC8508量产工具FC MpTool Ver 03.02.04.rar

  2. 软件介绍: 安国FC8508量产工具FC MpTool Ver03.02.04更新发布:20100407优化方式:U盘容量优先、U盘速度优先;扫描方式    高级格式化  低级格式化坏磁区设定:坏磁区自动计算容量动态设定   额外保留磁区     ( >=4 )Bin 级 最小值 MB固定容量 最大值 MB总百分比XX坏磁区XX%模式设定:模式设定   普通盘   PureMode SCSI Rev: 8.01   本地盘   只读盘   加密盘   AES盘(仅86有效)   Au
  3. 所属分类:其它

    • 发布日期:2019-09-04
    • 文件大小:4194304
    • 提供者:weixin_38744435
  1. YUMI 0.8.6多引导系统U盘制作工具.rar

  2. 软件介绍: YUMI 0.8.6能够创建一个多引导系统的U盘启动盘,适合启动带Linux的系统更新日期2013.1.17,更新内容:Update to support Fedora 18Archlinux 2013.01.04, CentOS 6.3Dr Web Live CD 6.0.2, Pear Linux 6.1and KXStudio 12.04.1Fix Debian Live 6 KDE 64bit option如何创建多重引导的USB闪存驱动器1.Run YUMI-0.0.
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:1048576
    • 提供者:weixin_38744207
  1. 8路modbus RTU控制器规格书(协议及尺寸安装) .pdf

  2. 8路modbus RTU控制器说明书 产品介绍 本控制器带有8路采集和8路继电器输出,因灵活的使用方式被客户广泛的使用在各个行业及领域。同时带有二次开发协议,方便客户集成到自己的系统中。可以通过串口232发送MODBUS RTU命令,也可以通过RS485发送,方便在标准的触摸屏及组态软件等系统中应用。 技术规格  PCB板尺寸:长(145mm)宽(90mm)高(40mm) [可插拔端子宽是115]  硬件版本号:KMCZE-I8O8-V3.1  软件版本号:KMCZE-I8O8-V1.0T
  3. 所属分类:C++

    • 发布日期:2019-08-31
    • 文件大小:840704
    • 提供者:xiaoya3304756
  1. COM_Text 串口转键盘录入2016-08-04.rar

  2. 串口扫描枪工具,将串口数据转换成键盘录入,显示在电脑上。共有 3 个,ComToKey.exe,COMText.exe 和 COM_Text V1.5_中文版.exe,其中后两者支持多种汉字编码,UTF-8,GB2312等。
  3. 所属分类:咨询

    • 发布日期:2019-08-31
    • 文件大小:788480
    • 提供者:zhanchengkun
  1. UCATestProceduresServer61850-8-1_Rev3p3.pdf

  2. UCA官方的IEC61850Ed1Server测试用例,版本为3.3,2019最新版本539-MOC/INC 11-Rey33 Revision Changed test procedures Affected test procedures Rev 3.0 Combined edl test procedures version 2.3 with TPCL 1.8 8-Aug-2015 Initial release to UCAlug Rev 3.01 ctions due to olete
  3. 所属分类:网络基础

    • 发布日期:2019-08-17
    • 文件大小:1048576
    • 提供者:firefinger
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »