您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 8线3线优先编码器VHDL实现

  2. 这是用VHDL写的实现8线——3线优先编码器,老师检查过的,希望对大家有帮助!
  3. 所属分类:专业指导

    • 发布日期:2009-07-22
    • 文件大小:552
    • 提供者:yang061501
  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. 带编码器的按键测试程序

  2. 利用8-3优先编码器实现按键控制功能可节省单片机接口,方便使用
  3. 所属分类:硬件开发

    • 发布日期:2009-12-02
    • 文件大小:2048
    • 提供者:caocao123110
  1. 数字电子技术实验报告

  2. 1)用两片74LS148(8—3优先编码器)扩展成16—4优先编码器,图2—5中1E1接入何信号才能使扩展成16—4优先编码器正常工作? 2)A2 、A1 、A0输出是正码,还是反码?如果要求数码显示是从小到大,输出端应接入什么逻辑关系的门电路? 实验要点:1)掌握74LS148(8—3优先编码器)的工作原理,认真理解功能表。 2)学会将74LS148(8—3优先编码器)扩展成16—4优先编码器,E1、E0、CS对扩展起的作用,扩展后通过对两片74LS148工作状态的测试来加深对16—4优先编
  3. 所属分类:专业指导

    • 发布日期:2010-01-04
    • 文件大小:4194304
    • 提供者:hanrui929
  1. 数电课程设计 六路抢答器的设计

  2. 由电路的输入功能,为六路输入,优先的顺序,选用8线-3线优先编码器74LS148,优先选择后,用锁存器将编号锁存,再编号译码显示。这里锁存器用D触发器和RS触发器。译码显示用BCD-七段显示译码器,形成的LED灯显示的数字即为选手编号
  3. 所属分类:专业指导

    • 发布日期:2010-01-09
    • 文件大小:241664
    • 提供者:numberrongbo
  1. verilog HDL经典程序实例135例

  2. Verilog HDL程序设计教程》程序例子,带说明。【例 3.1】4 位全加器 【例 3.2】4 位计数器【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序【例 3.5】“与-或-非”门电路【例 5.1】用 case语句描述的 4 选 1 数据选择器【例 5.2】同步置数、同步清零的计数器【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值【例 5.5】用 begin-end 串行块产生信号波形【例 5.6】用 fork-join 并行块产生信号波形【
  3. 所属分类:嵌入式

    • 发布日期:2010-07-23
    • 文件大小:158720
    • 提供者:do622
  1. VHDL语言描述8-3优先编码器

  2. VHDL语言编辑的8-3优先编码器,可以用它来构成16-4编码器
  3. 所属分类:专业指导

    • 发布日期:2010-11-26
    • 文件大小:978
    • 提供者:PHPQQ
  1. 王金明:《Verilog HDL程序设计教程》135例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:130048
    • 提供者:zhlyz2003
  1. VHDL的八三优先编码器的设计

  2. EDA软件仿真八三优先编码器,学习采用VHDL设计8-3编码器,并使用MAX+plus Ⅱ进行仿真。
  3. 所属分类:其它

    • 发布日期:2011-05-09
    • 文件大小:1048576
    • 提供者:swallow_miao
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. 基于FPGA的8线-3线优先编码器

  2. 采用VHDL语言编写的,基于FPGA平台的简单的8-3优先编码器完整程序,已编译通过,结果正确。
  3. 所属分类:硬件开发

    • 发布日期:2012-10-04
    • 文件大小:859
    • 提供者:zuopupu
  1. 8-3优先编码器

  2. 8-3 优先编码器 module encoder8_3(none_on,outcode,a,b,c,d,e,f,g,h); output none_on; output[2:0] outcode; input a,b,c,d,e,f,g,h; reg[3:0] outtemp; assign {none_on,outcode}=outtemp; always @(a or b or c or d or e or f or g or h) begin if(h) outtemp=4'b0111;
  3. 所属分类:电信

    • 发布日期:2012-10-11
    • 文件大小:588
    • 提供者:oliugang1
  1. 编码器的设计VHDL

  2. 设计并实现一个8线-3线优先编码器,用VHDL语言实现一个8线-3线优先编码器
  3. 所属分类:嵌入式

    • 发布日期:2012-11-22
    • 文件大小:75776
    • 提供者:lsw59
  1. VHDL实验报告——8-3优先编码器

  2. VHDL实验报告——8-3优先编码器 这是用来写实验报告的 可以算是借鉴
  3. 所属分类:其它

    • 发布日期:2012-12-10
    • 文件大小:146432
    • 提供者:icerk
  1. 《 Verilog HDL 程序设计教程》135例,源码

  2. 《 Verilog HDL 程序设计教程》135例; 。【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行
  3. 所属分类:硬件开发

    • 发布日期:2015-05-27
    • 文件大小:130048
    • 提供者:feng1o
  1. 8-3优先编码器

  2. 基于vhdl的8-3优先编码器,适用于初学者与课堂作业,这是我在数电课上写的,通俗易懂
  3. 所属分类:其它

    • 发布日期:2018-03-19
    • 文件大小:8192
    • 提供者:qq_38198140
  1. 8-3优先级编码器(两个文件).txt

  2. 8-3优先编码器verilog语言设计源文件及8-3优先编码器约束文件module encoder_pri_8(x,y)
  3. 所属分类:其它

    • 发布日期:2020-04-30
    • 文件大小:2048
    • 提供者:qq_44225983
  1. 元器件应用中的中规模组合逻辑电路编码器

  2. 用数字或文字和符号来表示某一对象或信号的过程,称为编码。编码器是专门用于将输入的数字信号或 文字符号,按照一定规则编成若干位的二进制代码信号,以便于数字电路进行处理。常见的编码器有:二 进制编码器、二-十进制编码器、优先编码器等。   (1)二进制编码器   一位二进制代码有0和1,可以表示两个信号,两位二进制代码有00,01,10和11,可以表示4个信号, 屁位二进制代码有2n种,可以表示2n个信号。用而位二进制代码对N=2n个信号进行编码的电路称为二进 制编码器。   现以3位二进制编
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:197632
    • 提供者:weixin_38666230
  1. 编码器的功能扩展

  2. 用两片8线-3线优先编码器扩展成为16线-4线优先编码器.若高位片的输入中有低电平,则由于对应的YS=1,使得低位片输出被封锁,结果取决于高位片的输出.反之则取决于低位片的输出.   
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:15360
    • 提供者:weixin_38624332
  1. 8421编码器、4线-2线编码器、优先编码器等,这些编码器的原理你都懂吗?

  2. 编码器的定义与功能   在数字系统里,常常需要将某一信息(输入)变换为某一特定的代码(输出)。把二进制码按一定的规律编排,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数字或控制信号)称为编码。具有编码功能的逻辑电路称为编码器。编码器有若干个输入,在某一时刻只有一个输入信号被转换成为二进制码。如果一个编码器有N个输入端和n个输出端,则输出端与输入端之间应满足关系N≤2n。例如8线—3线编码器和10线—4线编码器分别有8输入、3位二进制码输出和10输入、4位二进制码输出。   
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:402432
    • 提供者:weixin_38717169
« 12 3 4 5 6 7 8 »