您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. multisim应用技术(时序逻辑电路、AD、DA单片机应用讲义)

  2. multisim应用技术(时序逻辑电路、AD、DA单片机应用讲义)
  3. 所属分类:硬件开发

    • 发布日期:2009-08-01
    • 文件大小:815104
    • 提供者:Green880804
  1. 凌阳学习程序 内含 AD 4*4键盘 DA PWM

  2. 本资料含有凌阳单片机的各种简单学习程序案例,对初学者很有帮助。含有AD 4*4键盘 DA PWM
  3. 所属分类:硬件开发

    • 发布日期:2009-08-03
    • 文件大小:1048576
    • 提供者:ynlongjian
  1. PCI-AD卡驱动程序

  2. 这是一个关于PCI的AD卡的驱动程序,针对VxWorks的,采用C语言编写.
  3. 所属分类:C

    • 发布日期:2009-08-03
    • 文件大小:5120
    • 提供者:nemol_xc
  1. AD活动目录的备份与恢复

  2. 我们已经知道AD 中保存有很多数据,但您是否知道这些数据是如何组织并保存在系 统中的?您又是否知道在做AD 备份时该备份哪些数据?若您不清楚,让我们带着这些疑 问,进入后面的章节.
  3. 所属分类:专业指导

    • 发布日期:2009-08-04
    • 文件大小:234496
    • 提供者:peterhxt
  1. 关于AD转换器件MAX120的资料

  2. AD转换的资料,主要关于MAX120的资料。
  3. 所属分类:专业指导

    • 发布日期:2009-08-05
    • 文件大小:3145728
    • 提供者:snow963466464
  1. 基于TLC 549 的 AD转换C语言程序

  2. 基于TLC 549 的 AD转换C语言程序
  3. 所属分类:C

    • 发布日期:2009-08-11
    • 文件大小:3072
    • 提供者:bingyanhuohan
  1. TLC0820A AD转换 verilog程序

  2. verilog 的TLC0820A AD转换程序.....这里只找到VHD的... 自己写的...有需要的下吧...
  3. 所属分类:专业指导

    • 发布日期:2009-08-11
    • 文件大小:1024
    • 提供者:emukwok
  1. AD采样的软件滤波方法!

  2. AD采样的软件滤波方法!一共有10种方法是网友总结出来的,很有帮助!又需要的下吧!下载完了别忘了评分哦!
  3. 所属分类:专业指导

    • 发布日期:2009-08-12
    • 文件大小:33792
    • 提供者:weifeng99
  1. 简单的AD,DA转换程序

  2. 简单的AD,DA转换程序,简单的AD,DA转换程序
  3. 所属分类:C/C++

    • 发布日期:2009-08-12
    • 文件大小:2048
    • 提供者:hkhk8888
  1. MAX110实现AD转换C源代码

  2. MAX110实现AD转换C源代码,挺好的大家一起学习学习!
  3. 所属分类:C

    • 发布日期:2009-08-12
    • 文件大小:3072
    • 提供者:prwjx
  1. 12位AD快速采样保持电路

  2. 介绍12位AD快速采样保持电路,设计,有详细的讲解和示例。
  3. 所属分类:专业指导

    • 发布日期:2009-08-13
    • 文件大小:559104
    • 提供者:zhanxiaohong
  1. Ad hoc无线网络

  2. 描述了在科学技术发展的过程中各类Ad hoc无线网络的差异
  3. 所属分类:网络基础

    • 发布日期:2009-08-13
    • 文件大小:354304
    • 提供者:cuijicai78
  1. 北大的移动Ad hoc的讲义(上).

  2. 北大的移动Ad hoc的讲义,经典课件。讲述的非常清晰,是理解ad hoc网络的入门必备!
  3. 所属分类:网络基础

    • 发布日期:2009-08-15
    • 文件大小:669696
    • 提供者:budomoni
  1. 北大的移动Ad hoc的讲义(下).

  2. 北大的移动Ad hoc的讲义,经典课件。讲述的非常清晰,是理解ad hoc网络的入门必备!
  3. 所属分类:网络基础

    • 发布日期:2009-08-15
    • 文件大小:661504
    • 提供者:budomoni
  1. AD7705 16位AD转换芯片

  2. 16位的高速AD转换器,占用少量的IO口。支持串行输出!
  3. 所属分类:嵌入式

    • 发布日期:2009-08-17
    • 文件大小:689152
    • 提供者:zkang_ning_139
  1. AD详解-各类AD类型分析

  2. AD详解 AD 积分 比较 电容阵列 ∑-Δ。。。。。。。。。。。。
  3. 所属分类:专业指导

  1. 2009年全国电子设计大赛高速AD电路原理图及相关资料

  2. 全国电子设计大赛高速AD电路原理图及相关资料,绝对好用!
  3. 所属分类:专业指导

    • 发布日期:2009-08-19
    • 文件大小:177152
    • 提供者:wyjy520
  1. protel DXP 2004画的单片机AD RAM DA数据采集系统

  2. 用protel DXP 2004画的单片机AD RAM DA数据采集系统 ,超经典。。。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-19
    • 文件大小:384000
    • 提供者:lvjingsok
  1. protel 99 SE画的单片机AD RAM DA数据采集系统

  2. 用protel 99 SE画的单片机AD RAM DA数据采集系统 ,超经典。。。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-19
    • 文件大小:25600
    • 提供者:lvjingsok
  1. 常用AD转换元件库.SCHLIB

  2. 常用的AD转换元 元件库 省去你画元件的时间 让工作高效率
  3. 所属分类:专业指导

    • 发布日期:2009-08-20
    • 文件大小:20480
    • 提供者:lichangshun0506
« 1 2 3 45 6 7 8 9 10 ... 50 »