您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. NXP LPC1768的U盘MP3播放

  2. 本次使用宝马LPC1768作为实验平台,将LPC1768作为USB主机,挂载U盘,通过读取U盘里面的MP3文件,传送给VS1003进行解码播放。 VS1003是一个单片MP3/WMA/MIDI音频解码器和ADPCM编码器。它包含一个高性能,自主产权的低功耗DSP 处理器核VS_DSP,工作数据存储器,为用户应用提供5KB 的指令RAM 和0.5KB 的数据RAM。串行的控制和数据接口,4个常规用途的I/O 口,一个UART,也有一个高品质可变采样率的ADC和立体声DAC,还有一个耳机放大器和地
  3. 所属分类:C

    • 发布日期:2016-11-29
    • 文件大小:1048576
    • 提供者:szlovee
  1. KE系列库函数

  2. KE 系列的官方demo例程,包括GPIO,ADC,WDOG,UART,MSCAN,IIC等
  3. 所属分类:C

    • 发布日期:2017-01-17
    • 文件大小:39845888
    • 提供者:herry01245
  1. STM32F10x_StdPeriph_Lib_V3.5.0(官网下载2017.03.18)

  2. 包含 官网下载 ①标准外设库驱动的完整例程,驱动头文件、源文件 ②KEIL 常用项目模板示例,比如i2c,spi,adc,bkp,can,cec,crc,dac,dma,exti,i2s,sdio,usart等 ③评估板专用驱动,内核文件等等。
  3. 所属分类:嵌入式

    • 发布日期:2017-03-20
    • 文件大小:20971520
    • 提供者:miseli
  1. NXP LPC1788官方固件库

  2. LPC1788官方固件库,UART、I2C、SPI、PWM、TIMER、ADC、DAC、EEPROM、CRC、LCD、MCPWM、RTC、SYSTICK等,以及各种官方例程
  3. 所属分类:硬件开发

    • 发布日期:2017-09-10
    • 文件大小:60817408
    • 提供者:nyzf1234
  1. Dialog SDK for DA14580

  2. Dialog 官方例程包官方SDK详解 一、官方SDK下载 二、SDK结构讲解 2.1 根目录 2.2 binaries 2.3 dk_apps 2.4 host_apps 2.5 peripheral_examples 2.6 tools 三、官方SDK裸机例程结构讲解 3.1 Startup Files文件夹 3.1.1 startup_CMSDK_CM0.s文件 3.1.2 system_CMSDK.c文件 3.2 Source Files文件夹 3.2.1 per ipherals.c
  3. 所属分类:其它

    • 发布日期:2017-09-27
    • 文件大小:22020096
    • 提供者:qq_25479231
  1. SDK 5.0.4 Dialog DA14580 官方最新版

  2. 官方SDK详解 一、官方SDK下载 二、SDK结构讲解 2.1 根目录 2.2 binaries 2.3 dk_apps 2.4 host_apps 2.5 peripheral_examples 2.6 tools 三、官方SDK裸机例程结构讲解 3.1 Startup Files文件夹 3.1.1 startup_CMSDK_CM0.s文件 3.1.2 system_CMSDK.c文件 3.2 Source Files文件夹 3.2.1 peripherals.c文件 3.2.2 i2c_
  3. 所属分类:C++

    • 发布日期:2017-09-28
    • 文件大小:3145728
    • 提供者:qq_25479231
  1. MC20E opencpu

  2. MC20 opencpu 例程 联网 spi usart gpio i2c 外部中断 adc 等等
  3. 所属分类:C

    • 发布日期:2018-03-20
    • 文件大小:38797312
    • 提供者:zchyua
  1. 基于C++的虚拟串口通讯

  2. 这是基于C++的API操作串口(包含USB虚拟串口)例程,本例程应用串口命令控制外部设备,并实现IO输入输出控制、PWM控制、ADC读取、电机输出控制等。
  3. 所属分类:C++

    • 发布日期:2018-04-24
    • 文件大小:10485760
    • 提供者:hongtianchang
  1. zigbe浊度传感器

  2. 浊度传感器,对于水文检测泥沙量的表征可以使用浊度传感器来进行测量,文档给了zigbee的多节点例程,具体引脚连接文中已经给出,使用ADC转换对输入信号进行处理。
  3. 所属分类:系统集成

  1. micropython中文教程(嵌入式详细教程)

  2. 详细讲解了MicroPython有多种不同硬件平台的移植版本,包括 STM32F4/F7/L4系列、ESP8266、ESP32、NXP MK20DX256、microchip PIC33、Infineon XMC4700、nRF51822、CC3200、MSP432等,详细的描述了LED、ADC、RTC、PWM、UART、IIC、SPI、SD卡各种外设、底层驱动的编写和各种对应的例程,简单易懂,上手容易。
  3. 所属分类:Python

    • 发布日期:2018-01-29
    • 文件大小:8388608
    • 提供者:u013081943
  1. stm32f4简易声音存储录放(3秒存放)

  2. stm32f407vet6芯片,本人菜鸟最近一直在做声音存放的作业,网上寻找到的资源都用不了,最后无意间利用ADC/DAC的例程改编成功,目前还没完成利用sd卡存储。
  3. 所属分类:C

    • 发布日期:2018-07-15
    • 文件大小:6291456
    • 提供者:weixin_42539949
  1. EB-SAM3U开发板测试代码

  2. AT91SM3U开发板测试代码,里面包含ADC,LCD,NANDFLASH,TIM,SSC等代码的例程
  3. 所属分类:C

    • 发布日期:2018-11-23
    • 文件大小:1048576
    • 提供者:tl_led
  1. STM32Cube学习教程

  2. 总共16个例子,适合初学者。包含点灯、USART、外部中断、ADC、时钟等例程
  3. 所属分类:硬件开发

    • 发布日期:2019-04-05
    • 文件大小:10485760
    • 提供者:a59751208
  1. AD5933 STM32.zip

  2. STM32读取AD5933测试例程, 模拟IIC在STM32F103RCT6和STM32F103C8T6上测试成功! AD5933是一款高精度的阻抗转换器系统解决方案,片上集成频率发生器与12位、1 MSPS的模数转换器(ADC)。用频率发生器产生的信号来激励外部复阻抗,外部阻抗的响应信号由片上ADC进行采样,然后由片上DSP进行离散傅里叶变换(DFT)处理。DFT算法在每个频率上返回一个实部(R)数据字和一个虚部(I)数据字。 校准之后,很容易算出各扫描频率点的阻抗幅度和相对相位。计算是利用
  3. 所属分类:硬件开发

    • 发布日期:2019-05-27
    • 文件大小:299008
    • 提供者:hanxiaohuaa
  1. periph_adc.rar

  2. NXP LPC54114单片机的AD采样例程。 The ADC example uses the internal temperature sensor as an input to channel 0 and converts the analog voltage to a digital value. The conversion is done at 3Hz and the output value is display on the UART debug port.
  3. 所属分类:硬件开发

    • 发布日期:2019-05-29
    • 文件大小:15360
    • 提供者:weixin_31168567
  1. 基于STM32F407ZGT6的内部温度读取实验.rar

  2. 基于STM32F407ZGT6的内部温度读取例程,通过获取特定ADC通道数值,将其转换为温度数值。
  3. 所属分类:C

    • 发布日期:2019-06-06
    • 文件大小:729088
    • 提供者:qq_42838291
  1. Open103Z-Demo.7z

  2. STM32F103ZET6配套的例程,包含各个基础例程,如ADC,DAC,USART,RTC,GPIO,TIM,PWR,I2C等,应有尽有,标准库
  3. 所属分类:C

    • 发布日期:2019-06-13
    • 文件大小:10485760
    • 提供者:fang13155513190
  1. STM32F103RCT6.rar

  2. 嵌入式开发精品网店,迷你板stm32f103rct6,基于正点原子修改,修复led 叠加显示bug,集成自带按键,led,lcd屏,adc,dac,pwm,红外,mpu6050,板载flah例程
  3. 所属分类:C

    • 发布日期:2019-08-11
    • 文件大小:9437184
    • 提供者:qq_21929649
  1. MM32L0xx_n_Lib_Samples_V1.20_SC.zip

  2. 本次上传的代码为四通道ADC采集电压,并输出采集得到的值,但是并没有转化成为传统的电压值,而是采集通道采集得到的值,本例程并没有使用DMA总线,在后续上传DMA版本的adc采集的代码
  3. 所属分类:C

    • 发布日期:2019-09-11
    • 文件大小:3145728
    • 提供者:badaoshaonian
  1. EU-STM8S207R8核心板V2资料.rar

  2. 该资料包括了EU-STM8S207R8核心板V2的调试例程,开发环境和开发工具,芯片资料以及STM8S207R8的ADC模数转换C程序,PWM编程,I2C通信以及定时器中断等等。是初学STM8S单片机人员的好帮手,有了她,可以深入浅出学习ARM单片机。
  3. 所属分类:硬件开发

    • 发布日期:2019-09-12
    • 文件大小:119537664
    • 提供者:qq_19437235
« 1 2 ... 11 12 13 14 15 1617 18 19 »