您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机期末考试题目及答案详解

  2. 很好很详细的资料,看了考试应该没问题的,大家快来下吧!单片机原理复习资料(一)  填空题: 1.MCS—51单片机引脚信号中,信号名称带上划线的表示该信号 或 有效。 2.通过堆栈操作实现子程序调用,首先要把 的内容入栈,以进行断点保护。调用返回时再进行出栈操作,把保护的断点送回 。 3.某程序初始化时使(SP)=40H,则此堆栈地址范围为 ,若使(SP)=50H,则此堆栈深度为 。 4.在相对寻址方式中, “相对”两字是指相对于 ,寻址得到的结果是 。在寄存器寻址方式中,指令中指定寄存器的内
  3. 所属分类:C

    • 发布日期:2009-06-23
    • 文件大小:281600
    • 提供者:charlesdingding
  1. 1302和计算器 org 0000h

  2. 这是一小部分代码 请参考 org 0000h jmp start ;Start of the program org 0100h start: mov A,#030h ;1 line, 8 bits call wrcmd mov A,#LCD_SETVISIBLE + 4 call wrcmd mov A,#LCD_SETDDADDR+15 ; Start at right hand side of the display call wrcmd mov A,#LCD_SE TMODE + 3
  3. 所属分类:C++

    • 发布日期:2009-09-22
    • 文件大小:328704
    • 提供者:long1111122222
  1. 串口测试程序用于sst89e58rd

  2. 可以用于sst89e58rd串口的测试 ;串口程序 ORG 0000H; AJMP MAIN; ORG 0023H; AJMP USART; ORG 0030H; MAIN: CLR ES; CLR TR1; MOV TMOD, #020H; MOV PCON, #00H; MOV TCON, #0B0H; MOV SCON, #050H; MOV TH1, #0FAH; MOV TL1, #0FAH; MOV IP, #010H; SETB REN; CLR TI; CLR RI; CLR
  3. 所属分类:其它

    • 发布日期:2010-03-02
    • 文件大小:23552
    • 提供者:JJM152768JJM
  1. 基于单片机的密码锁的设计

  2. code_seg segment code data_seg segment data stack_seg segment idata spk bit p1.3 rseg data_seg frq:ds 1 tmp:ds 1 rseg stack_seg stack:ds 20 cseg at 00000h ljmp main cseg at 0003h ljmp zd rseg code_seg jmp main PORTA EQU 8FFFH ;8255A口地址 PORTB EQU 9FF
  3. 所属分类:硬件开发

  1. 电子音乐门铃设计程序

  2. ORG 00H ;初始化程序 JMP START ORG 0BH LJMP TIM0 START:MOV TMOD,#00000001B ;主程序 MOV IE,#10000010B JB P3.5, $ CALL DELAY1 JNB P3.5, $ MOV 31H,#00 START0: MOV 30H,#SONG NEXT: MOV A,30H MOV DPTR,# TABLE MOVC A,@A+DPTR MOV R2,A JZ END0 ANL A,#0FH MOV R5,A MOV
  3. 所属分类:C++

    • 发布日期:2010-03-23
    • 文件大小:4096
    • 提供者:yuan198732
  1. 微机原理及应用 单片机的中断实验(定时 计数器)

  2. 1、由8031内部定时器1,按方式1工作,即作为16位定时器使用, 每0.05秒钟T1溢出中断一次。P1口的P1.0-P1.7分别接八个发光二极管。要求编写程序模拟一时序控制装置。开机后第一秒钟L0,L2亮,第二秒钟L1,L3亮,第三秒钟L4,L6亮,第四秒钟L5,L7亮,第五秒L0,L2,L4,L6亮,第六秒钟L1,L3,L5,L7亮,第七秒钟八个二极管全亮,第八秒钟全灭,以后又从头开始,L0,L2亮,然后L1,L3亮......一直循环下去。 2、在下面写出你的代码(下面的代码不全,请进行
  3. 所属分类:硬件开发

    • 发布日期:2010-04-27
    • 文件大小:58368
    • 提供者:chenb6chen
  1. anl.part01

  2. 第一部分内容,请下在完成后在解压
  3. 所属分类:专业指导

    • 发布日期:2008-01-20
    • 文件大小:7340032
    • 提供者:DULLMANE
  1. anl.part02

  2. angeL 1208版.part02
  3. 所属分类:专业指导

    • 发布日期:2008-01-20
    • 文件大小:7340032
    • 提供者:DULLMANE
  1. angeL1208版.part05

  2. angeL 1208版.part05.rar
  3. 所属分类:专业指导

    • 发布日期:2008-01-20
    • 文件大小:7340032
    • 提供者:DULLMANE
  1. anL.part06.rar

  2. angeL 1208版.part06.rar
  3. 所属分类:专业指导

    • 发布日期:2008-01-20
    • 文件大小:828416
    • 提供者:DULLMANE
  1. 微软C语言编程精髓机会去健身房和课外结合

  2. 微软C 编程 精髓,教你重点好还是原来学汇编的时候的程序了 今天整理看到了 送给有用的人 code_seg segment code data_seg segment data stack_seg segment idata spk bit p1.3 rseg data_seg frq:ds 1 tmp:ds 1 rseg stack_seg stack:ds 20 cseg at 00000h ljmp main cseg at 0003h ljmp zd rseg code_seg jmp
  3. 所属分类:C

    • 发布日期:2010-06-17
    • 文件大小:700416
    • 提供者:zhoupenghfxy
  1. 多路交通灯控制系统设计

  2. ;主程序: ORG 0000H AJMP MAIN ORG 0030H MAIN: MOV SP,#60H MOV SCON,#00H ;设置串行口工作方式为方式0 CLR TI CLR RI MOV A,#09H ;置初态,东南西北都为红灯亮 MOV P1,A MOV R2,#4 LCALL DELAY ; 调用4次1秒的延时子程序 H1:MOV A,#0CH ;东西绿灯亮,南北红灯亮 MOV P1,A MOV R2,#10 LCALL DELAY ;调用10次1秒的延时子程序 MOV R7
  3. 所属分类:交通

  1. 用单片机设计的4位密码锁

  2. 目 录 摘要..........................................(03) 关键字........................................(03) 1 前言..........................................(04) 2 系统设计......................................(04) 2.1 电子密码锁的工作原理......................(04) 2.2 系统硬
  3. 所属分类:C

    • 发布日期:2010-07-01
    • 文件大小:262144
    • 提供者:sun817082
  1. 8279的使用与初始化代码

  2. 8279的使用与初始化代码 ;键盘和LED显示程序 ; ;********************************************* ;* 8279 初始化 * ;* KEYCOM为8279命令口 KEYDAT为8279数据口 * ;********************************************* KEYCOM XDATA 0E601H KEYDAT XDATA 0E600H KEYCAM EQU 30H ;定义存放按键值RAM起始地址 ORG 000
  3. 所属分类:C

    • 发布日期:2010-09-17
    • 文件大小:301056
    • 提供者:bww9009
  1. 8279可编程设置型键盘

  2. 8279可编程设置型键盘 ;键盘和LED显示程序 ; ;********************************************* ;* 8279 初始化 * ;* KEYCOM为8279命令口 KEYDAT为8279数据口 * ;********************************************* KEYCOM XDATA 0E601H KEYDAT XDATA 0E600H KEYCAM EQU 30H ;定义存放按键值RAM起始地址 ORG 0000
  3. 所属分类:C

    • 发布日期:2010-09-17
    • 文件大小:45056
    • 提供者:bww9009
  1. 51单片机汇编指令详解

  2. 1 ANL A,Rn 累加器与寄存器相“与” 2 ANL A,direct 累加器与直接地址单元相“与” 3 ANL A,@Ri 累加器与间接RAM 单元相“与” 4 ANL A,#data 累加器与立即数相“与” 5 ANL direct,A 直接地址单元与累加器相“与” 6 ANL direct,#data 直接地址单元与立即数相“与” 7 ORL A,Rn 累加器与寄存器相“或” 8 ORL A,direct 累加器与直接地址单元相“或” 9 ORL A,@Ri 累加器与间接RAM 单元
  3. 所属分类:硬件开发

    • 发布日期:2010-11-26
    • 文件大小:26624
    • 提供者:w460984597
  1. 用AT89C51制作八位数字频率计

  2. 《用AT89C51制作八位数字频率计》一文的完整程序清单及注释 ORG 00H ;指定下条指令的地址 AJMP MAIN ;跳转至MAIN 50M INC 23H RETI ORG 001BH ;定时器T1中断入口,T1作定时,T0作计数 PUSH A ;累加器A压入堆栈 PUSH PSW ;状态寄存器压入堆栈 DJNZ 40H,JJ ;产生1s定时时标 MOV 40H,#0C8H DJNZ 41H,JJ CLR P3.1 ;关闭闸门 ANL 88H,#0AFH ;1s末,关闭T0和T1 MO
  3. 所属分类:C

    • 发布日期:2010-12-30
    • 文件大小:38912
    • 提供者:linda_6000
  1. CRUISE基础培训教程

  2. ANL-CRUISE 仿真方面的资料确实不多见 算是尽了一点贡献吧
  3. 所属分类:制造

    • 发布日期:2012-06-04
    • 文件大小:2097152
    • 提供者:eastglave1
  1. 使用PARET / ANL代码运行十九(19)年后的加纳研究堆1的瞬态研究

  2. 反应堆瞬态分析程序/阿贡国家实验室(PARET / ANL)代码用于在堆芯顶部垫片中添加9.0 mm铍后,预测加纳研究堆1的热水力行为。 分析了芯的反应性插入物,分别为2.1 mk,3.0 mk,4.0 mk,5.0 mk和6.7 mk。 该反应堆在2.1 mk至4.0 mk的范围内仍可安全运行。 但是,将2.1 mk设置为理想值是因为反应堆自动关闭(SCRAM)设置为不超过反应堆标称功率的120%。
  3. 所属分类:其它

    • 发布日期:2020-06-03
    • 文件大小:1048576
    • 提供者:weixin_38746387
  1. 液体处理:https:xgitlab.cels.anl.govrarvindliquidhandling的镜像-源码

  2. 液体处理 该存储库包含python库的源代码,该库的开发旨在允许对Argonne国家实验室的Secure BIO Lab中安装的Hudson Robotics系统进行编程控制。 该库目前正在积极开发中。 因此,不保证API的稳定性,功能完整性或测试范围。 该库生成的任何代码均应视为未经测试,并且在物理实验室自动化系统上运行时应受到仔细监控。 安装 点数: pip install liquidhandling conda: conda install -c conda-forge -c l
  3. 所属分类:其它

    • 发布日期:2021-02-25
    • 文件大小:79872
    • 提供者:weixin_42110070
« 12 3 »