您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Altera器件编程与配置

  2. Altera器件编程与配置Altera器件编程与配置
  3. 所属分类:硬件开发

    • 发布日期:2009-05-03
    • 文件大小:6291456
    • 提供者:huyoyoo
  1. EDA 技术实用教程

  2. 目 录 第1 章 概述.......................................................................................................................... 1 1.1 EDA 技术及其发展................................................................................................ 1
  3. 所属分类:硬件开发

    • 发布日期:2009-08-24
    • 文件大小:6291456
    • 提供者:sfhgky
  1. EDA技术及其应用电子课件

  2. 第1章电子系统设计概论_080901 第2章 可编程逻辑器件基础_080903 第3章CPLD与FPGA基础_080908 第4章ALTERA的CPLD与FPGA器件08_09_27 第5章AHDL语言_08_09_16 第6章CPLD_FPGA的配置与下载08_10_08
  3. 所属分类:硬件开发

    • 发布日期:2009-08-26
    • 文件大小:1048576
    • 提供者:weiln2013
  1. FPGA/VHDL/Verilog/CPLD/及应用电子课件

  2. 1.1可编程逻辑器件概述 1.2 FPGA的设计方法与要求 1.3 FPGA的设计流程 1.3.5 嵌入微处理器的FPGA设计流程 1.4 FPGA的设计工具 2.1 Xilinx FPGA器件 2.1.2 SpartanⅡ和SpartanⅡE系列产品 2.2.1 FLEX系列产品 2.2.3 ACEX1K系列产品 2.2.7 Stratix系列产品 3.1 基于ISE5.2的输入方法 3.1.2 HDL语言输入 3.1.3 状态图输入 3.1.4 IP复用 3.2 基于Quartus的设计输
  3. 所属分类:硬件开发

    • 发布日期:2009-09-25
    • 文件大小:12582912
    • 提供者:ccpqpq
  1. Altera FPGA\CPLD设计(基础篇)-part1

  2. 第1章 FPGA/CPLD简介 1.1 可编程逻辑设计技术简介 1.1.1 可编程逻辑器件发展简史 1.1.2 可编程逻辑器件分类 1.2 FPGA/CPLD的基本结构 1.2.1 FPGA的基本结构 1.2.2 CPLD的基本结构 1.2.3 FPGA和CPLD的比较 1.3 FPGA/CPLD的设计流程 1.4 FPGA/CPLD的常用开发工具 1.5 下一代可编程逻辑设计技术展望 1.5.1 下一代可编程逻辑器件硬件上的四大发展趋势 1.5.2 下一代EDA软件设计方法发展趋势 1.6
  3. 所属分类:硬件开发

    • 发布日期:2009-10-14
    • 文件大小:13631488
    • 提供者:love_liu
  1. Altera FPGA\CPLD设计(基础篇)-part2

  2. 第1章 FPGA/CPLD简介 1.1 可编程逻辑设计技术简介 1.1.1 可编程逻辑器件发展简史 1.1.2 可编程逻辑器件分类 1.2 FPGA/CPLD的基本结构 1.2.1 FPGA的基本结构 1.2.2 CPLD的基本结构 1.2.3 FPGA和CPLD的比较 1.3 FPGA/CPLD的设计流程 1.4 FPGA/CPLD的常用开发工具 1.5 下一代可编程逻辑设计技术展望 1.5.1 下一代可编程逻辑器件硬件上的四大发展趋势 1.5.2 下一代EDA软件设计方法发展趋势 1.6
  3. 所属分类:硬件开发

    • 发布日期:2009-10-14
    • 文件大小:7340032
    • 提供者:love_liu
  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. EDA—EDA技术实用教程

  2. 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
  3. 所属分类:硬件开发

    • 发布日期:2010-11-19
    • 文件大小:8388608
    • 提供者:sundyqt
  1. 嵌入式处理器原理及应用――Nios系统设计和C语言编程

  2. 嵌入式系统是嵌入到对象体系中的专用计算机系统,包括硬件和软件两大部分。硬件包括处理器、存储器、输入输出接口和外部设备等,软件包括系统软件和应用软件,嵌入式系统的系统软件和应用软件紧密结合。 嵌入式处理器是嵌入式系统的核心,有硬核和软核之分。常用的嵌入式处理器硬核有ARM、MIPS、PowerPC、Intel x86和Motorola 68000等;Altera公司开发的Nios是16/32位嵌入式处理器软核。和硬核相比,软核的使用灵活方便。 Nios嵌入式处理器是可配置的通用RISC处理器,可
  3. 所属分类:硬件开发

    • 发布日期:2011-03-01
    • 文件大小:308224
    • 提供者:luno1
  1. 无线wifi的配置及设置

  2. 旨在探讨一种基于FPGA的数据采集系统设计与实现方式,其基本出发点是根据A/D和D/A器件的工作原理,结合FPGA的高速、易控制和可塑性强等优势,对高速数据采集系统设计有一定研究意义。本系统采用Altera公司的Cyclone II的FPGA可编程器件为核心,其系统的设计实现包括硬件设计和软件设计两部分。硬件设计部分包括时钟、按键、显示、A/D采样、D/A输出、存储配置等单元电路,软件设计部分则依靠Quartus II集成开发系统,采用自顶向下的模块化设计思想,运用VHDL语言进行控制系统设计
  3. 所属分类:网络设备

    • 发布日期:2013-05-11
    • 文件大小:2048
    • 提供者:u010660790
  1. FPGA设计与应用教学课件.ppt

  2. 第1章 FPGA概述 1.1 FPGA的发展历程 1.2 FPGA的基本原理 1.3 FPGA的设计方法 1.4 FPGA的设计流程 1.5 总结与结论 第2章 硬件描述语言入门 2.1 VHDL入门 2.2 Verilog HDL入门 第3章 简单电路的HDL设计 3.1 基本组合逻辑运算 3.2 基本时序器件—寄存器 3.3 基本简单数学运算 第4章 FPGA的同步设计 4.1 同步的定义 4.2 同步部件 4.3 状态产生 4.4 中央允许产生器 4.5 同步清除 4.6 时钟歪斜的清除
  3. 所属分类:硬件开发

    • 发布日期:2009-03-29
    • 文件大小:1048576
    • 提供者:li7032
  1. FPGA自学笔记——设计与验证VIP版.pdf

  2. 开始有计划写这本书的时候, Altera 还叫 Altera, 还没有加入 Intel 的大家庭, Xilinx 的 ZYNQ 也才刚刚开始有人探索, Altera 大学计划第一次将亚洲创新大赛由传统的 SOPC 大赛 换成了 SOC 大赛,软核变硬核,性能翻几番。 那个时候,能出一本认认真真讲 FPGA 设计的 书, 会得到非常高的评价。 而我,则由于工作变动, 中间拖沓了半年,当半年后再来准备动 笔时,才恍然领悟到, Altera 即将成为 Intel 的可编程事业部, 基于嵌入式硬核的 S
  3. 所属分类:硬件开发

    • 发布日期:2019-09-03
    • 文件大小:16777216
    • 提供者:qq_30307853
  1. FPGA在微处理器系统中的在应用配置

  2. ALTERA公司SRAM工艺可编程器件应用广泛,专用配置器件比较昂贵。在具有微处理器的系统中,使用微处理器系统的存储器来存储配置数据,并通过微处理器配置FPGA,这种方法几乎不增加成本。微处理器根据不同的程序应用,采用不同的配置数据对FPGA进行配置,使FPGA实现与该应用有关的特定功能。详细介绍了微处理器系统中连接简单的被动串行配置方法和被动并行异步配置方法。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:112640
    • 提供者:weixin_38733281
  1. IIC总线通讯接口器件的CPLD实现

  2. 绍了采用ALTERA公司的可编程器件EPF10K10LC84-3实现IIC总线的通讯接口的基本原理,并给出了部分的VHDL语言描述。该通讯接口与专用的接口芯片相比,具有使用灵活、系统配置方便的特点。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:67584
    • 提供者:weixin_38705873
  1. 通用数字调制器设计与实现

  2. 摘 要: 给出了基于Nios II的通用数字调制器的实现方法,具体说明了系统的基本原理、总体结构、硬件设计,以及软件流程等。该系统把可编程逻辑的固有优势集成到嵌入处理器的开发流程中,具有高度的灵活性、可重配置功能,便于升级和扩展,适于软件无线电的应用。   在软件无线电(SDR)的研究过程中,调制解调技术是无线通信系统空中接口的重要组成部分。目前常用的数字调制方式有多种,如果按照传统的硬件实现方法,要使一部通信机产生多种调制信号,其系统就会非常庞大复杂。   Altera公司的Nios II处理
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:138240
    • 提供者:weixin_38718413
  1. 通信与网络中的Ateme新型MPEG-4 AVC/H.264编码器

  2. 法国视频与信号处理软硬件供应商Ateme推出其MPEG-4AVC/H.264实时高清晰主要层(mainprofile)硬件编码器。该编码器被设计用于四种元器件,一种ALTERA的StratixIIFPGA和德州仪器的TMS320C64xDSP的混合结构。这些致使该器件采用了3×3英寸的电路板格式。   公司称,该设计的可编程性使其可以安全升级。它对以全比特率进行的任何配置提供了灵活性。与ASIC方案不同,据说这种解决方案使用户可以在标准完全成熟时享受未来MPEG-4AVC的进步。   产品完全符
  3. 所属分类:其它

    • 发布日期:2020-11-26
    • 文件大小:36864
    • 提供者:weixin_38646230
  1. 嵌入式系统/ARM技术中的IIC总线通讯接口器件的CPLD实现

  2. 摘要:介绍了采用ALTERA公司的可编程器件EPF10K10LC84-3实现IIC总线的通讯接口的基本原理,并给出了部分的VHDL语言描述。该通讯接口与专用的接口芯片相比,具有使用灵活、系统配置方便的特点。     关键词:IIC总线 CPLD VHDL ISP IIC总线是PHILIPS公司开发的一种简单、双向、二线制、同步串行总线。它只需两根线(串行时钟线和串行数据线)即可在连接于总线上的器件之间传送信息。该总线是高性能串行总线,具备多主机系统所需要的裁决和高低速设备同步等功能,应用极
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:135168
    • 提供者:weixin_38517904
  1. 单片机与DSP中的基于单片机的FPGA并行配置方法

  2. 摘要:讨论了基于SRAM技术的可编程逻辑器件FPGA的编程方式,并以ALTERA公司FLEX10K系列器件为例,提出了一种利用单片机AT89C52对FPGA进行在线PPA(被动并行异步)配置的实用方法。实践表明,用单片机对FPGA进行并行配置,具有配置时间短、准确率高、易于实现等优点,该方法可以广泛地应用于不同领域。 关键词:单片机 PPA FPGA 配置在当今变化的市场环境中,产品是否便于现场升级、是否便于灵活使用,已成为产品能否进入市场的关键因素。在这种背景下,Altera公司的基于S
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:91136
    • 提供者:weixin_38576811
  1. 单片机与DSP中的采用AVR单片机对FPGA进行配置(图)

  2. Altera公司的ACEX、FLEX等系列的FPGA芯片应用广泛,但其FPGA基于SRAM结构,决定电路逻辑功能的编程数据存储于SRAM中。由于SRAM的易失性,每次上电时必须重新把编程数据装载到SRAM中,这一过程就是FPGA的配置过程。FPGA的配置分为主动式和被动式。在主动模式下,FPGA上电后主动将配置数据从专用的EPROM(如EPC1,EPC2等)加载到SRAM中。被动模式下,FPGA为从属器件,由相应的控制电路或微处理器控制配置过程,包括通过下载电缆由计算机控制配置和单片机模拟配置时
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:73728
    • 提供者:weixin_38518376
  1. IIC总线通讯接口器件的CPLD实现

  2.  摘要:介绍了采用ALTERA公司的可编程器件EPF10K10LC84-3实现IIC总线的通讯接口的基本原理,并给出了部分的VHDL语言描述。该通讯接口与专用的接口芯片相比,具有使用灵活、系统配置方便的特点。  关键词:IIC总线CPLDVHDLISP  IIC总线是PHILIPS公司开发的一种简单、双向、二线制、同步串行总线。它只需两根线(串行时钟线和串行数据线)即可在连接于总线上的器件之间传送信息。该总线是高性能串行总线,具备多主机系统所需要的裁决和高低速设备同步等功能,应用极为广泛。目前市
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:70656
    • 提供者:weixin_38660624
« 12 »