您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 计算机组成原理 练习题与答案 本科

  2. 本科生期末试卷十三 一、 选择题(每小题1分,共10分) 1. 计算机硬件能直接执行的只有______。 A.符号语言 B 机器语言 C 汇编语言 D 机器语言和汇编语言 2. 假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校验的字符码是______。 A.11001011 B.11010110 C.11000001 D.1100100 3. 运算器的主要功能是进行______。 A.逻辑运算 B.算术运算 C.逻辑运算与算术运算 D.初等 函数的运算 4. 某计算机字长16位,它的存贮
  3. 所属分类:C

    • 发布日期:2009-11-16
    • 文件大小:3mb
    • 提供者:kdjfkljasdf
  1. Apress - Pro Hadoop

  2. Hadoop 项目主页:http://hadoop.apache.org   一个分布式系统基础架构,由Apache基金会开发。用户可以在不了解分布式底层细节的情况下,开发分布式程序。充分利用集群的威力高速运算和存储。 起源:Google的集群系统   Google的数据中心使用廉价的Linux PC机组成集群,在上面运行各种应用。即使是分布式开发的新手也可以迅速使用Google的基础设施。核心组件是3个:   1、GFS(Google File System)。一个分布式文件系统,隐藏下层负
  3. 所属分类:Linux

    • 发布日期:2010-01-06
    • 文件大小:4mb
    • 提供者:lele1230
  1. FUJITSU 富士通 硬盘 ROM 固件大全

  2. MHF2043 702-A518 MHG2102 C0E-B015 MHH2048 A0E-B815 MHK2060 417-D834 MHK2060 418-D834 MHM2200 0B0E-3B27 MHM2200 40E-5823 MHM2200 90E-3822 MHM2200 B0E-3B27 MHN2100 910-7255 MHN2100 B13-7256 MHN2200 110-7255 MHN2300 C10-7255 MHR2020 502-55BA MHR2020 80
  3. 所属分类:C

    • 发布日期:2010-03-06
    • 文件大小:4mb
    • 提供者:sun32078063
  1. AD9850产生信号程序

  2. #include #include float l,m,n,q,z; double k; //-----------------------定义管脚-------------------------------------------------------- #define data_OUT P1 sbit FQ_UD=P3^0; //AD9850信号更新申请 sbit W_CLK=P3^1; sbit FESET="P3"^2; //写控制字时钟 unsigned char CON_wor
  3. 所属分类:其它

    • 发布日期:2010-05-27
    • 文件大小:4kb
    • 提供者:jesonmao
  1. ZTE-U960B13(MALSHENZU.COM).rar

  2. U960 的基带文件,找到这个资源的人都知道这是什么,为什么一定要20个字呢
  3. 所属分类:Android

    • 发布日期:2012-02-22
    • 文件大小:4mb
    • 提供者:xajhcxs
  1. u880升级工具

  2. :\U880超大空间版\u880升级工具V3\升级工具.exe 更精简,更迅速,更省空间。B13
  3. 所属分类:其它

    • 发布日期:2012-09-28
    • 文件大小:60kb
    • 提供者:cdn5z21
  1. 华为HG520sB13固件

  2. 华为HG520sB13固件我的E家HG520s型号B13升级
  3. 所属分类:网络安全

    • 发布日期:2012-12-27
    • 文件大小:922kb
    • 提供者:tbnorbu
  1. stm32f4通过spi用fatfs读写sd卡程序

  2. stm32f4通过spi用fatfs读写sd卡程序,已经调通。用的是单片机中B口的B11--CS、B13--SCLK、B14--MISO、B15--MOSI.
  3. 所属分类:C

    • 发布日期:2013-05-05
    • 文件大小:50kb
    • 提供者:raoqin
  1. vhdl 程序实例

  2. .动态扫描显示程序: library ieee; use ieee.std_logic_1164.all; entity dtsm_xs is port(clk:in std_logic; B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1:in std_logic_vector(3 downto 0); x:out std_logic_vector(6 downto 0); led_select: out std_logic_vector(13 do
  3. 所属分类:其它

    • 发布日期:2013-07-02
    • 文件大小:55kb
    • 提供者:u011274592
  1. 集合合并代码

  2. public static void main(String[] args) { // TODO Auto-generated method stub List lst1=new ArrayList(); List lst2=new ArrayList(); UserBean b1=new UserBean(); UserBean b2=new UserBean(); UserBean b3=new UserBean(); UserBean b4=new UserBean(); UserBea
  3. 所属分类:Java

    • 发布日期:2013-07-04
    • 文件大小:3kb
    • 提供者:icecovers
  1. 64位红雪0.9.b13

  2. 红雪英文版压缩包 用于iphone越狱刷机引导等
  3. 所属分类:电信

    • 发布日期:2013-12-11
    • 文件大小:16mb
    • 提供者:u010193185
  1. 计算器java源码

  2. 小型的计算器源代码 import java.awt.*; import java.awt.event.*; import javax.swing.JTextField; @SuppressWarnings("serial") public class testCalculator extends Frame { GridLayout g1; Button b0,b1,b2,b3,b4,b5,b6,b7,b8,b9,b10,b11,b12,b13,b14,b15; Panel p1,p2; Do
  3. 所属分类:Java

    • 发布日期:2014-03-09
    • 文件大小:4kb
    • 提供者:u013403815
  1. 计算器Java

  2. Java编写的计算器,import java.awt.*; import java.awt.event.*; import javax.swing.*; import java.util.*; class Jsqzj implements ActionListener { JButton b1,b2,b3,b4,b5,b6,b7,b8,b9,b10,b11,b12,b13,b14,b15,b16; JFrame f; GridLayout c=new GridLayout(4,4); JTex
  3. 所属分类:Java

    • 发布日期:2014-04-15
    • 文件大小:8kb
    • 提供者:u012146757
  1. 水利预算软件

  2. 凯云水利水电工程工程量清单计价软件 一、公司介绍 北京凯云创智软件技术有限公司 北京市高新技术软件企业并通过北京市双软认定,主要业务是开发并推广工程造价管理软件及相关行业管理软件,向客户提供与工程造价和施工管理相关的咨询和技术服务,是国内工程造价管理及各行业管理软件并提供相关应用解决方案的主要软件供应商。 二、软件特点 ◇根据水利水电工程的特点和要求研发,可通过软件自动生成安徽省水利水电招投标所需要表格 ◇软件界面友好,简单易用。使用者均可不通过专业培训就可直接应用软件 ◇取费设置及费率标准选
  3. 所属分类:其它

    • 发布日期:2014-09-01
    • 文件大小:50kb
    • 提供者:kiyun605
  1. openjdk-7u75-b13-linux-x64-18_dec_2014.tar.gz

  2. openjdk-7u75-b13-linux-x64-18_dec_2014.tar.gz openjdk-7u75-b13-linux-x64-18_dec_2014.tar.gz
  3. 所属分类:Android

    • 发布日期:2018-04-10
    • 文件大小:149mb
    • 提供者:wb4916
  1. Gigabyte(技嘉科技)Intel8系列主板EZSetup软件B13.0521.1版

  2. Gigabyte技嘉Intel 8系列主板EZ Setup软件B13.0521.1版For Win7-32/Win7-64/Win8-32/Win8-64(2013年6月2日发布) 日前,技嘉发布了其最新的Intel 8系列主板,支持全新的Intel第四代酷睿处理器,同时技嘉的Intel 8系列主板也将技嘉的各种独家技术(软件)进行升级,包括技嘉On/Off Charge 2,全新的技嘉EasyT
  3. 所属分类:其它

    • 发布日期:2019-08-06
    • 文件大小:19mb
    • 提供者:weixin_39840588
  1. Gigabyte技嘉Intel8系列主板AppCenter应用软件管理中心B13.1015.1版

  2. Gigabyte技嘉Intel 8系列主板App Center应用软件管理中心B13.1015.1版For Win7-32/Win7-64/Win8-32/Win8-64/Win8.1-32/Win8.1-64(2013年11月5日发布)自Windows8.1发布之后,技嘉为其主板的独家(软件)技术进行了更新,并且增加了对Windows8.1的支持。 日前,技嘉为旗下Intel 8系列主板发布了最
  3. 所属分类:其它

    • 发布日期:2019-08-06
    • 文件大小:10mb
    • 提供者:weixin_39841882
  1. openjdk-7u75-b13-linux-x64.tar.gz

  2. 编译 Android 6.0 时需要用到的 jdk 1.7,实测可以正常编译并且使用。 lmxubuntu:$ java -version openjdk version "1.7.0_75" OpenJDK Runtime Environment (build 1.7.0_75-b13) OpenJDK 64-Bit Server VM (build 24.75-b04, mixed mode)
  3. 所属分类:Linux

    • 发布日期:2020-04-20
    • 文件大小:149mb
    • 提供者:lovemengx
  1. 扎矿输岩系统B13胶带加长实施方案

  2. 扎矿输岩胶带由B11半固定式胶带机、B12半固定式胶带机和B13可移式胶带机3部分组成。随着排土胶带的移设,B13胶带具备加长条件,研究了B13胶带加长时基础施工方案,实现B13胶带加长工作,从而加大一次排土量,减少移设次数,增加输岩系统排土场使用年限。
  3. 所属分类:其它

    • 发布日期:2020-05-28
    • 文件大小:175kb
    • 提供者:weixin_38669729
  1. b13:基数13-源码

  2. База13 Выживание,исследование,строительство。
  3. 所属分类:其它

« 12 3 »