您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 汇编语言BCD码加法

  2. 把两个非压缩BCD码组合成一个压缩BCD码
  3. 所属分类:硬件开发

    • 发布日期:2009-09-05
    • 文件大小:478
    • 提供者:llphi
  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. 开放式CPU设计 实验程序 编码实验:BCD码的加法

  2. 开放式CPU设计 实验程序 编码实验:BCD码的加法 所有程序均编译测试通过 请放心下载
  3. 所属分类:其它

    • 发布日期:2009-12-02
    • 文件大小:125952
    • 提供者:w405924507
  1. 汇编语言的加法运算命令行显示

  2. 基于汇编语言,将两个20位的压缩BCD码表示的数据进行相加,键盘输入等号结束,在命令行中用压缩BCD码显示运算的结果。
  3. 所属分类:硬件开发

    • 发布日期:2010-04-28
    • 文件大小:2048
    • 提供者:sdjnzqmc
  1. verilog HDL经典程序实例135例

  2. Verilog HDL程序设计教程》程序例子,带说明。【例 3.1】4 位全加器 【例 3.2】4 位计数器【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序【例 3.5】“与-或-非”门电路【例 5.1】用 case语句描述的 4 选 1 数据选择器【例 5.2】同步置数、同步清零的计数器【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值【例 5.5】用 begin-end 串行块产生信号波形【例 5.6】用 fork-join 并行块产生信号波形【
  3. 所属分类:嵌入式

    • 发布日期:2010-07-23
    • 文件大小:158720
    • 提供者:do622
  1. bcd码大数计算源码

  2. bcd大数计算源码(vb) 加法 减法 乘法 除法
  3. 所属分类:VB

    • 发布日期:2010-10-02
    • 文件大小:19456
    • 提供者:icbcnetone
  1. 基于EDA技术的数据采集控制系统设计.pdf

  2. [摘 要] 数据采集和控制系统是对生产过程或科学实验中各种物理量进行实时采集、测试和反馈控制的闭环系统。它在工业控制、军事电 子设备、医学监护等许多领域发挥着重要作用。本设计用 CPLD/ FPGA 芯片、ADC0809 芯片、键盘及七段显示器共同构成数据采集控制 系统,用VHDL语言进行各模块程序设计并在开发系统 QUAR TUSⅡ下进行编译仿真。待编译仿真正确通过后将程序下载于 CPLD/ FP- GA芯片中,以实现对A/ D 转换、数据运算、键盘输入以及数据显示的控制。设计概括如下:1)
  3. 所属分类:嵌入式

    • 发布日期:2010-10-23
    • 文件大小:457728
    • 提供者:feixiangdemeng3
  1. 王金明:《Verilog HDL程序设计教程》135例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:130048
    • 提供者:zhlyz2003
  1. vhdl二进制转十进制BCD码加法

  2. 实现8421BCD码加法和二进制与十进制之间的相互转换功能 完成将6位二进制数值转换成2位BCD形式十进制数的功能 完成2位BCD码加法运算
  3. 所属分类:嵌入式

    • 发布日期:2011-06-08
    • 文件大小:786
    • 提供者:sanpangzi1989
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. cpu(BCD码的加法)

  2. cpu(BCD码的加法)
  3. 所属分类:专业指导

    • 发布日期:2008-06-21
    • 文件大小:125952
    • 提供者:gaoxiaoke21
  1. VHDL 进制转换与BCD码加法

  2. PartI:将二进制数转换十进制数 PartII: 完成2位BCD码加法运算 附VHDL代码和实验报告,可下载到DE2板上运行
  3. 所属分类:硬件开发

    • 发布日期:2012-04-17
    • 文件大小:580608
    • 提供者:fc213
  1. 双字节BCD码加法实验报告

  2. 应用汇编的编程中循环程序的编写方法借助wave6000实现双字节BCD码加法
  3. 所属分类:嵌入式

    • 发布日期:2012-09-17
    • 文件大小:747520
    • 提供者:songyanqin1234
  1. 基于FPGA控制键盘液晶进行十进制加法运算显示

  2. 基于北京精仪达盛科技有限公司的实验箱,用VHDL开发4x8矩阵键盘与液晶接口,并实现BCD码加法运算与十进制调整显示,是课程设计的优秀作品
  3. 所属分类:嵌入式

    • 发布日期:2012-11-15
    • 文件大小:2097152
    • 提供者:xingziran
  1. 汇编语言,加法计算器,

  2. 汇编语言编写的加法计算器, 一个汇编程序写的加法计算器 ;在汇编下写加减程序不是你想像的那么简单,其中涉及到Ascii字符码转BCD数,然后将计算结果(BCD数)又轮换成Ascii字符码的问题,而且处理输入子过程也是一个十分讲究的地方,
  3. 所属分类:硬件开发

    • 发布日期:2008-09-07
    • 文件大小:1024
    • 提供者:edifier1234
  1. BCD码加法程序

  2. 自己写的BCD加法计算程序,供大家交流学习,版权归大家
  3. 所属分类:C

    • 发布日期:2013-05-16
    • 文件大小:909
    • 提供者:vippirate
  1. 《 Verilog HDL 程序设计教程》135例,源码

  2. 《 Verilog HDL 程序设计教程》135例; 。【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行
  3. 所属分类:硬件开发

    • 发布日期:2015-05-27
    • 文件大小:130048
    • 提供者:feng1o
  1. 用汇编语言实现两个4位十进制数相加

  2. 代码中是设x=1234;Y=5678.最终将两BCD码X+Y的和保存在SUM变量之中(结果在附加段,请自行用debug查看)
  3. 所属分类:专业指导

    • 发布日期:2017-06-16
    • 文件大小:509
    • 提供者:unou6xnu
  1. verilog HDL经典实例135例

  2. 《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并
  3. 所属分类:嵌入式

    • 发布日期:2009-04-04
    • 文件大小:158720
    • 提供者:ljj0709
  1. 8051单片机多字节BCD码加法程序

  2. 8051单片机多字节BCD码加法程序,包括加法子程序
  3. 所属分类:硬件开发

    • 发布日期:2009-04-05
    • 文件大小:453
    • 提供者:liyunljl
« 12 3 »