您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 微机原理实验指导 设计

  2. 微机原理实验指导 软件实验------------------------------------------------------21 实验一 二进制多位加法运算----------------------------------21 实验二 二进制码转换为BCD码---------------------------------22 实验三 BCD码转换为二进制码 ---------------------------------25 实验四 十进制数的BCD码相减运算---------
  3. 所属分类:专业指导

    • 发布日期:2009-06-04
    • 文件大小:2097152
    • 提供者:you339922
  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. 开放式CPU设计 实验程序 编码实验:BCD码的加法

  2. 开放式CPU设计 实验程序 编码实验:BCD码的加法 所有程序均编译测试通过 请放心下载
  3. 所属分类:其它

    • 发布日期:2009-12-02
    • 文件大小:125952
    • 提供者:w405924507
  1. 51三字节浮点库包括32个子程序

  2. 1: FSDT 功能:浮点数格式化 2: FADD 功能:浮点数加法 3: FSUB 功能:浮点数减法 4: FMUL 功能:浮点数乘法 5: FDIV 功能:浮点数除法 6: FCLR 功能:浮点数清零 7: FZER 功能:浮点数判零 8: FMOV 功能:浮点数传送 9: FPUS 功能:浮点数压栈 10: FPOP 功能:浮点数出栈 11: FCMP 功能:浮点数代数值比较不影响待比较操作数 12: FABS 功能:浮点绝对值函数 13: FSGN 功能:浮点符号函数 14: FINT
  3. 所属分类:其它

    • 发布日期:2010-04-06
    • 文件大小:292864
    • 提供者:boy_scarecrow
  1. 汇编语言的加法运算命令行显示

  2. 基于汇编语言,将两个20位的压缩BCD码表示的数据进行相加,键盘输入等号结束,在命令行中用压缩BCD码显示运算的结果。
  3. 所属分类:硬件开发

    • 发布日期:2010-04-28
    • 文件大小:2048
    • 提供者:sdjnzqmc
  1. 基于EDA技术的数据采集控制系统设计.pdf

  2. [摘 要] 数据采集和控制系统是对生产过程或科学实验中各种物理量进行实时采集、测试和反馈控制的闭环系统。它在工业控制、军事电 子设备、医学监护等许多领域发挥着重要作用。本设计用 CPLD/ FPGA 芯片、ADC0809 芯片、键盘及七段显示器共同构成数据采集控制 系统,用VHDL语言进行各模块程序设计并在开发系统 QUAR TUSⅡ下进行编译仿真。待编译仿真正确通过后将程序下载于 CPLD/ FP- GA芯片中,以实现对A/ D 转换、数据运算、键盘输入以及数据显示的控制。设计概括如下:1)
  3. 所属分类:嵌入式

    • 发布日期:2010-10-23
    • 文件大小:457728
    • 提供者:feixiangdemeng3
  1. 微型计算机原理及应用教案

  2. 第一讲 计算机基础知识 本讲目的:了解计算机中数的表示 本讲要求:掌握二进制、十六进制和带符号数的表示以及BCD码、ASCII码 本讲重点:十六进制数和补码 本讲难点:负数的补码表示 授课内容 一、数与数制 1、十进制记数法 在十进制记数中,用0,1,2,…,9这10个符号来表示数量,无论多大的数,都是用这10个符号的组合来表示的。 例如,十进制数3758可用上面的法则来表示: (3758)10=3×103+7×102+5×101+8×100 根据同样的法则,也可以表示十进制小数,小数点的右边
  3. 所属分类:C

    • 发布日期:2010-11-13
    • 文件大小:4194304
    • 提供者:glow110
  1. 汇编指令大CHM格式的

  2. 数据 传送 指令 通用数据传送指令 传送指令MOV 堆栈操作指令PUSH、POP 交换指令XCHG 地址传送指令 LEA、LDS、LES 标志传送指令 LAHF、SAHF、PUSHF、POPF  查表转换指令 XLAT   算术   运算   指令      二进制加法指令 ADD、ADC 加1指令INC 二进制减法指令 SUB、SBB指令、CMP、DEC、NEG 二进制乘法指令 MUL、IMUL 二进制除法指令 DIV、IDIV指令 十进制算术运算 非压缩BCD码调整指令AAA、AAS、AA
  3. 所属分类:硬件开发

    • 发布日期:2011-01-02
    • 文件大小:732160
    • 提供者:why219288
  1. vhdl二进制转十进制BCD码加法

  2. 实现8421BCD码加法和二进制与十进制之间的相互转换功能 完成将6位二进制数值转换成2位BCD形式十进制数的功能 完成2位BCD码加法运算
  3. 所属分类:嵌入式

    • 发布日期:2011-06-08
    • 文件大小:786
    • 提供者:sanpangzi1989
  1. HT MCU 加、减、乘、除法

  2. 本程序包含八位、十六位、二十四位及三十二位定点数据的基本运算。 基本的运算包括:加法(有符号、无符号)、减法(有符号、无符号)、乘法(有符号、无 符号)、除法(有符号、无符号)、BCD 码的加、减法、BCD 码与二进制码的相互转换等等。
  3. 所属分类:其它

    • 发布日期:2011-08-27
    • 文件大小:46080
    • 提供者:fengsy168
  1. cpu(BCD码的加法)

  2. cpu(BCD码的加法)
  3. 所属分类:专业指导

    • 发布日期:2008-06-21
    • 文件大小:125952
    • 提供者:gaoxiaoke21
  1. 双字节BCD码加法实验报告

  2. 应用汇编的编程中循环程序的编写方法借助wave6000实现双字节BCD码加法
  3. 所属分类:嵌入式

    • 发布日期:2012-09-17
    • 文件大小:747520
    • 提供者:songyanqin1234
  1. 基于FPGA控制键盘液晶进行十进制加法运算显示

  2. 基于北京精仪达盛科技有限公司的实验箱,用VHDL开发4x8矩阵键盘与液晶接口,并实现BCD码加法运算与十进制调整显示,是课程设计的优秀作品
  3. 所属分类:嵌入式

    • 发布日期:2012-11-15
    • 文件大小:2097152
    • 提供者:xingziran
  1. 8086寻址方式及指令系统

  2. 第三章 8086/8088的寻址方式和指令系统 练习题 一.单项选择题 1.设BX=2000H,SI=3000H,指令MOV AX,[BX+SI+8]的源操作有效地址为( )。 A.5000H B.5008H C.23008H D.32008H 2.设DS=1000H,ES=2000H,BX=3000H,指令ADD AL,[BX]的源操作数的物理址为(  )。 A.13000H B.23000H C.33000H D. 3000H 3.设DS=2000H,ES=3000H,SI=200H,指令
  3. 所属分类:系统集成

  1. 汇编语言,加法计算器,

  2. 汇编语言编写的加法计算器, 一个汇编程序写的加法计算器 ;在汇编下写加减程序不是你想像的那么简单,其中涉及到Ascii字符码转BCD数,然后将计算结果(BCD数)又轮换成Ascii字符码的问题,而且处理输入子过程也是一个十分讲究的地方,
  3. 所属分类:硬件开发

    • 发布日期:2008-09-07
    • 文件大小:1024
    • 提供者:edifier1234
  1. BCD码加法程序

  2. 自己写的BCD加法计算程序,供大家交流学习,版权归大家
  3. 所属分类:C

    • 发布日期:2013-05-16
    • 文件大小:909
    • 提供者:vippirate
  1. 计算机组成实验报告

  2. 计算机组成的4个实验报告 实验一 编码实验:HAMMING码 实验二 编码实验:CRC码 实验三 编码实验:BCD码的加法 实验四 运算部件实验:移位器 实验五 运算部件实验:并行乘法器 实验六 运算部件实验:并行除法器实验 实验七 时序部件实验 实验八 通用寄存器组实验
  3. 所属分类:专业指导

    • 发布日期:2013-07-11
    • 文件大小:1048576
    • 提供者:zxcvbnm_w
  1. 《 Verilog HDL 程序设计教程》135例,源码

  2. 《 Verilog HDL 程序设计教程》135例; 。【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行
  3. 所属分类:硬件开发

    • 发布日期:2015-05-27
    • 文件大小:130048
    • 提供者:feng1o
  1. 用汇编语言实现两个4位十进制数相加

  2. 代码中是设x=1234;Y=5678.最终将两BCD码X+Y的和保存在SUM变量之中(结果在附加段,请自行用debug查看)
  3. 所属分类:专业指导

    • 发布日期:2017-06-16
    • 文件大小:509
    • 提供者:unou6xnu
  1. 基础电子中的EDA中的系统总体组装电路的设计技巧分析介绍

  2. (1)在交通灯控制电路JTDKZ的设计中,利用状态机非常简洁地实现了对主、支干道指示灯的控制和有关单元电路的使能控制。   (2)在定时单元CNT45S和CNT25S的设计中,根据设计要求需进行减计数,但本设计中却使用的是加法计数,只是在将计数结果转换成两位BCD 码时,将计数的最小值对应转换成显示定时的最大值,计数值加1时,转换的显示值减1,依此类推。同时由于主/支干道从亮绿灯转到亮红灯中间有5 s亮黄灯的时间过渡,因此对应的支/主干道亮红灯的时间比对应的主/支干道亮绿灯的时间要多5 s。考
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:30720
    • 提供者:weixin_38587509
« 12 »