您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 实现BCD码

  2. VHDL 实现BCD码,使用VHDL写的源程序
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:123904
    • 提供者:sunrier
  1. DH11 程序(以SF板为例)[包含单字节二进制转换BCD码程序].rar

  2. DH11 程序(以SF板为例)[包含单字节二进制转换BCD码程序].raDH11 程序(以SF板为例)[包含单字节二进制转换BCD码程序].rarr
  3. 所属分类:专业指导

    • 发布日期:2009-08-08
    • 文件大小:1048576
    • 提供者:xueyuanyuan
  1. 汇编语言BCD码加法

  2. 把两个非压缩BCD码组合成一个压缩BCD码
  3. 所属分类:硬件开发

    • 发布日期:2009-09-05
    • 文件大小:478
    • 提供者:llphi
  1. Verilog BCD码时钟+扫描电路

  2. Verilog BCD码时钟+扫描电路
  3. 所属分类:专业指导

    • 发布日期:2009-10-01
    • 文件大小:614400
    • 提供者:wzngy
  1. 开放式CPU设计 实验程序 编码实验:BCD码的加法

  2. 开放式CPU设计 实验程序 编码实验:BCD码的加法 所有程序均编译测试通过 请放心下载
  3. 所属分类:其它

    • 发布日期:2009-12-02
    • 文件大小:125952
    • 提供者:w405924507
  1. 4位BCD码加法器的设计

  2. ALU的设计与仿真—4位BCD码加法器的设计 本次的设计内容是ALU的设计与仿真—4位BCD码加法器的设计。
  3. 所属分类:嵌入式

    • 发布日期:2009-12-17
    • 文件大小:474112
    • 提供者:wc3918
  1. 用VHDL编写的一位BCD码的加减法

  2. 用VHDL语言编写的关于一位BCD码的加减法的程序
  3. 所属分类:其它

    • 发布日期:2010-01-11
    • 文件大小:24576
    • 提供者:LXLFYYDH
  1. 二进制调整为BCD码的方法

  2. 二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法
  3. 所属分类:其它

    • 发布日期:2010-01-15
    • 文件大小:6144
    • 提供者:lymanlee
  1. 微机原理与接口技术/汇编实验 两个压缩的BCD码相减并调整结果为压缩的BCD码实验报告

  2. 学校的实验报告,在DOS下输入汇编程序,两个压缩的BCD码相减并调整结果为压缩的BCD码,有详细步骤 word格式
  3. 所属分类:硬件开发

    • 发布日期:2010-04-13
    • 文件大小:104448
    • 提供者:huanhuan0811
  1. x86汇编BCD码调整指令详解

  2. x86汇编BCD码调整指令详解 x86汇编BCD码调整指令详解 x86汇编BCD码调整指令详解 x86汇编BCD码调整指令详解 x86汇编BCD码调整指令详解 x86汇编BCD码调整指令详解
  3. 所属分类:硬件开发

    • 发布日期:2010-04-24
    • 文件大小:91136
    • 提供者:yichao_1989
  1. 输入10位BCD码,转换为16进制显示

  2. 输入10位BCD码,转换为16进制显示 输入10位BCD码,转换为16进制显示
  3. 所属分类:其它

    • 发布日期:2010-04-30
    • 文件大小:754
    • 提供者:fujx333
  1. 输入10位BCD码,转换为16进制显示

  2. 输入10位BCD码,转换为16进制显示 输入10位BCD码,转换为16进制显示
  3. 所属分类:其它

    • 发布日期:2010-04-30
    • 文件大小:1024
    • 提供者:fujx333
  1. verilog 二进制转bcd码

  2. verilog 二进制转bcd码 位数自定 用reg代替for循环更接近硬件描述语言并且节约资源。
  3. 所属分类:其它

    • 发布日期:2010-07-24
    • 文件大小:834
    • 提供者:tfriends
  1. 如何将16进制转为BCD码.doc

  2. 如何将16进制转为BCD码.doc 如何将16进制转为BCD码.doc
  3. 所属分类:Java

    • 发布日期:2010-10-16
    • 文件大小:129024
    • 提供者:xinyi66
  1. 十六进制数据转换BCD码

  2. PIC汇编语言将十六进制数据转换成BCD码的子程序,由于16位以下的PIC汇编语言没十进制调整语句,所以必须做成子程序
  3. 所属分类:硬件开发

    • 发布日期:2010-11-10
    • 文件大小:2048
    • 提供者:weikunyuan
  1. 数字逻辑bcd码加法器

  2. 数字逻辑课程作业 利用BCD码实现加法器
  3. 所属分类:专业指导

    • 发布日期:2010-11-16
    • 文件大小:173056
    • 提供者:anyu2008
  1. 十进制数转十六进制,BCD码,七段共阳极码

  2. TEM DB 'input a decimal number ','$' TEM1 DB 'please choose one:1.16 2.BCD 3.gongyang 4.quit ','$' TEM2 DB 'result is:','$' STRA DB 0AH,0DH NUM DB 4 DUP(?),'H$' NUM1 DB '0123456789ABCDEF' NUM2 DB '0000000100100011010001010110011110001001' ;BCD码 NUM3
  3. 所属分类:其它

    • 发布日期:2010-12-06
    • 文件大小:7168
    • 提供者:zyd036
  1. bcd码相乘的汇编程序

  2. 实现bcd码相乘,用于微机接口的课设题目
  3. 所属分类:硬件开发

  1. 基于vhdl的二进制转BCD码的设计

  2. 基于vhdl的二进制转BCD码的设计,已经经过调试,可直接使用
  3. 所属分类:硬件开发

    • 发布日期:2011-04-09
    • 文件大小:3072
    • 提供者:blcablca
  1. 四字节数转换BCD码

  2. 单片机运用将四字节的十六进制数转换成BCD码
  3. 所属分类:嵌入式

    • 发布日期:2011-04-22
    • 文件大小:963
    • 提供者:haojiedi
« 12 3 4 5 6 7 8 9 10 ... 33 »