您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA设计详细资料(1)

  2. FPGA 之中包括FPGAcpld结构分析、fpga的EDA设计方法、fpga中的微程序设计、复杂可编程逻辑器件cpld专题讲座(Ⅴ)──cpld的应用和实现数字逻、一种使用fpga设计的DRAM控制器、用cpld器件实现24位同步计数器的设计。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-25
    • 文件大小:184320
    • 提供者:skyzcp
  1. CPLD-FPGA结构

  2. 对Xilinx和Altera两家公司的主要CPLD和FPGA的结构进行介绍
  3. 所属分类:硬件开发

    • 发布日期:2010-03-26
    • 文件大小:771072
    • 提供者:sdsxxgaochao
  1. FPGA模拟DDS正弦波信号源

  2. 本课题任务是用CPLD设计一个直接频率合成器,本文首先对 DDS 的原理进行了详细讨论,然后结合复杂可编程逻辑器件(CPLD),设计实现了基于 CPLD 为平台的 DDS。随后介绍了利用CPLD实现直接数字频率合成(DDS)的原理、电路结构、优化方法等。重点介绍DDS技术在CPLD中的实现方法,给出了部分VHDL源程序。通过D/A转换将数字量转换为模拟正弦波信号,通过按键来对输出频率的改变,通过数码管对输出频率进行显示。来实现CPLD正弦波信号源。
  3. 所属分类:硬件开发

    • 发布日期:2010-07-10
    • 文件大小:1048576
    • 提供者:duanduan168
  1. CPLD技术及其应用

  2. CPLD是最新型的可编程逻辑器件,几乎可适用于所有的门阵列和各种规模的数字集成电路,它的诸多特点使其特别适合于产品的样品开发与小批量生产。本书正是以全球最大的可编程逻辑器件供应商——Altera公司的MAX+PLUS Ⅱ为工具,详尽地剖析了其FLEX 10K等系列的结构、功能及开发应用。在基础篇中,通过一个完整的实例介绍,以使读者能够尽快了解MAX+PLUS Ⅱ的软件安装、设计输入、项目编译、优化以及硬件编程在线调试等功能,并且能够开发出相对简单的产品。在提高篇中,对电子电路设计过程中出现的许
  3. 所属分类:硬件开发

    • 发布日期:2010-08-12
    • 文件大小:13631488
    • 提供者:Benjamin_Wang
  1. 可编程逻辑器件FPGA/CPLD课件

  2. 讲述了可编程逻辑器件发展基础、电路结构、开发基础与应用,是一个入门的好课件。
  3. 所属分类:硬件开发

    • 发布日期:2010-12-07
    • 文件大小:4194304
    • 提供者:bonyyang123
  1. FPGACPLD基本结构及原理

  2. FPGACPLD基本结构及原理 ppt 一、PLD的编程技术 二、复杂可编程逻辑器件(CPLD)的基本原理 三、现场可编程门阵列(FPGA)的基本原理 四、CPLD与FPGA的区别
  3. 所属分类:专业指导

    • 发布日期:2011-05-22
    • 文件大小:939008
    • 提供者:zsw0123
  1. FPGA/CPLD应用设计200例2

  2. FPGA/CPLD.《FPGA/CPLD应用设计200例》分上、下两册。上册主要介绍FPGA/CPLD可编程控制器在网络通信、仪器仪表、工业控制、遥感遥测、汽车工业、航天军工及家用电器等领域的典型应用设计实例;下册主要介绍产品设计开发技巧、方法与秘诀,常用设计、开发工具及软件特性,常用芯片的结构特点等内容。《FPGA/CPLD应用设计200例》共计典型应用设计实例287个。
  3. 所属分类:硬件开发

    • 发布日期:2014-12-28
    • 文件大小:39845888
    • 提供者:u013457088
  1. Introduction to CPLD and FPGA Design

  2. CPLD和FPGA的入门手册(英文版) FPGA设备内部结构和特性,基于FPGA的项目设计流程,讨论设计、模拟和测试等相关问题的细节。
  3. 所属分类:硬件开发

    • 发布日期:2008-12-10
    • 文件大小:1033216
    • 提供者:taut001
  1. CPLD与FPGA的发展与应用之对比

  2. CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。FPGA 是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。本文主要讲CPLD与FPGA的发展与应用对比
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:198656
    • 提供者:weixin_38597533
  1. 嵌入式系统/ARM技术中的简便的包含嵌入式存储器的FPGA结构实现

  2. 1.引言   传统上 FPGA只能实现相对较小的逻辑电路,随着工艺技术的提高,FPGA的容量和性能也不断提高,FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:168960
    • 提供者:weixin_38693506
  1. EDA/PLD中的FPGA与CPLD的辨别和分类

  2. FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是:   将以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。   将以查表法结构方式构成逻辑行为的器件称为FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K或ACEX1K系列等。   尽管FPGA和CPLD都是可编程ASIC器件,有很多共同
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:55296
    • 提供者:weixin_38545332
  1. 单片机与DSP中的DSP+FPGA结构在雷达模拟系统中的应用

  2. 摘要:本文介绍了DSP和FPGA在数字电子设计中的优势,并结合雷达模拟系统的硬件设计实例,重点阐述了相应的硬件与软件实现方法。  关键词:DSP  FPGA  数字电路设计  雷达模拟系统 1 引言  随着信息技术革命的深入和计算机技术的飞速发展,低速、低可靠性的单片机以及小规模的集成电路已经越来越不能满足需要,正逐渐被DSP与可编程逻辑器件(如FPGA、CPLD)所取代。一方面,数字信号处理技术逐渐地发展成为一门关键的技术学科,而DSP芯片由于集成度高、运算速度快、性能稳定等特点,极大地促进
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:96256
    • 提供者:weixin_38691055
  1. EDA/PLD中的基于CPLD的LED点阵显示控制器

  2. 在系统可编程技术(ISP—In System Programming)及其在系统可编程系列器件,是90年代迅速发展起来的一种新技术和新器件。现场可编程器件(FPGA和CPLD)等ISP器件无须编程器,利用器件厂商提供的编程套件,采用自顶而下的模块化设计方法,使用原理图或硬件描述语言(VHDL)等方法来描述电路逻辑关系,可直接对安装在目标板上的器件编程。它易学、易用、简化了系统设计,减小了系统规模,缩短设计周期,降低了生产设计成本,从而给电子产品的设计和生产带来了革命性的变化。   1、系统结
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:115712
    • 提供者:weixin_38725734
  1. 嵌入式系统/ARM技术中的CPLD技术在PCI总线开关中的应用

  2. PLD(可编程逻辑器件)以其操作灵活、使用方便、开发迅速、投资风险低的特点,很快发展起来,并越来越受人们的瞩目。PLD是可以由用户在工作现场编程的逻辑器件,它从简单的PAL、GAL,已发展到CPLD、EPLD、FPGA和FLEX系列。他们都具有体系结构和逻辑单元灵活、集成度高以及适用范围广等特点。      同以往的PAL、GAL等相比较,FPGA/CPLD的规模比较大,适合于时序、组合等逻辑电路等场合应用。可编程逻辑器件易学、易用,简化了系统设计,缩小了系统规模,提高了系统的可靠性。一个器
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:82944
    • 提供者:weixin_38590784
  1. 嵌入式系统/ARM技术中的利用FPGA平台解决接口的总线速度瓶颈

  2. 目前,嵌入式系统已经广泛应用于通信、消费类电子产品等许多领域。然而,在许多应用中,处理器的接口总线速度成为制约系统性能的瓶颈。本文将以嵌入式实时视频数据存储系统为例,说明如何利用FPGA作为嵌入式处理器的数据协处理器,利用CPLD进行主处理器与协处理器之间数据通信的方案来解决处理器接口总线速度对系统性能的影响。该方案对解决类似的问题具有一定的参考作用。1 系统组成     如图l所示,系统主要由4部分组成:嵌入式处理器、CPLD、FPGA及视频图像压缩输入部分。(1)嵌入式处理器    采用Si
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:154624
    • 提供者:weixin_38713061
  1. 基于SRAM编程技术的PLD核心可重构电路结构设

  2. 摘要:CPLD相对于FPGA更适合实现时序逻辑较少而组合逻辑相对复杂的功能,比如复杂的状态机和译码电路等。CPLD的EEPROM编程技术不适合动态可重构的应用。本文针对CPLD的核心可编程结构:P-Term和可编程互连线,采用2.5V、0.25μmCMOS工艺设计了功能相近的基于SRAM编程技术的可重构电路结构。新的电路结构可以通过可编程方式有效控制功耗和速度的折衷,并且相对于传统的CPLD互联结构减少了50%的编程数据。在动态可重构系统中,采用上述新结构的PLD相对于FPGA可以更有效地实现可
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:216064
    • 提供者:weixin_38522529
  1. 基于SRAM编程技术的PLD核心可重构电路结构设计

  2. 摘要:CPLD相对于FPGA更适合实现时序逻辑较少而组合逻辑相对复杂的功能,比如复杂的状态机和译码电路等。CPLD的EEPROM编程技术不适合动态可重构的应用。本文针对CPLD的核心可编程结构:P-Term和可编程互连线,采用2.5V、0.25μmCMOS工艺设计了功能相近的基于SRAM编程技术的可重构电路结构。新的电路结构可以通过可编程方式有效控制功耗和速度的折衷,并且相对于传统的CPLD互联结构减少了50%的编程数据。在动态可重构系统中,采用上述新结构的PLD相对于FPGA可以更有效地实现可
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:216064
    • 提供者:weixin_38684892
  1. EDA/PLD中的基于CPLD/FPGA的出租车计费器系统的设计实现

  2. 1 引言   随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FP-GA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文介绍了一种以Altera公司可编程逻辑器件EP1K30TC144-3为控制核心,附加一定外围电路组成的出租车计费器系统。  2 系统总体结构  基于CPLD的出租车计费器的组成如图1所示。各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数(每
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:268288
    • 提供者:weixin_38710557
  1. 基于CPLD/FPGA的出租车计费器系统的设计实现

  2. 1 引言   随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FP-GA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文介绍了一种以Altera公司可编程逻辑器件EP1K30TC144-3为控制,附加一定外围电路组成的出租车计费器系统。  2 系统总体结构  基于CPLD的出租车计费器的组成如图1所示。各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数(每转一
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:328704
    • 提供者:weixin_38666114
  1. FPGA与CPLD的辨别和分类

  2. FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是:   将以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。   将以查表法结构方式构成逻辑行为的器件称为FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K或ACEX1K系列等。   尽管FPGA和CPLD都是可编程ASIC器件,有很多共同
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:55296
    • 提供者:weixin_38500047
« 12 3 4 5 6 7 8 »