您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于CPLD的键盘控制器设计

  2. 由于CPLD的可再编程性质,可以将同一装置用于不同的键盘和产品,而收到高产量、低成本的效果,同时也节约了单片机的资源以做它用。可再编程的特点辅之简便易用的设计工具,使设计可以进行晚期更改,提高了产品设计的灵活性,降低了风险。在单片机应用系统中,利用键盘接口输入数据,是实现现场实时调试、数据调整和各种参数设置最常用的方法。单片机的外围键盘扩展电路有多种实现方式,例如直接利用单片机的I/O接口,或者采用8255A接口芯片,就可以实现外围键盘的扩展功能。但是,在这些方法中,键盘扩展电路需要占用单片机的
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:247808
    • 提供者:weixin_38551070
  1. 基于CPLD的GPIB控制器

  2. GPIB控制器芯片是组建自动测试系统的核心,在测试领域应用广泛。本文拟讨论用ALTERA公司的低成本CPLD来实现GPIB控制器的功能。GPIB控制器芯片的硬件设计主要分为状态机的实现、数据通道和微处理接口的设计。本文重点介绍了各个模块的实现原理。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:181248
    • 提供者:weixin_38669881
  1. 基于CPLD的USB总线的隔离接口实现

  2. 在工业环境自动化程度较高的现场,医疗设备对人体信息的提取和诊断,大量信息数据的获取与存储往往应用快速的 USB接口来实现,而目前的 USB接口对工业干扰非常敏感,在医疗上对人身安全存在隐患,USB的隔离应用是基于 PC平台的医疗设备和具有很大地电位差的工业应用。本文提出基于 CPLD的 USB隔离技术,实现了 USB总线的可靠安全通信,在工业现场和医疗设备通信接口中发挥着重要作用。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:262144
    • 提供者:weixin_38570202
  1. 基于CPLD系统的信号发生器设计[图]

  2. 文中采用Quartus II开发平台,基于可编程逻辑器件CPLD设计出多波形信号发生器,可输出频率、幅度可调的三角波、正弦波和方波。任意波形模块可由用户输出用户所需的特殊波形,满足了教学实验和开发新的实验项目对特殊波形的要求。整个设计采用VHDL编程实现,其设计过程简单,极易修改,可移植性强。另外,CPLD还具有可编程重置特性,因而可以方便地更换波形数据,且简单易行。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:182272
    • 提供者:weixin_38500117
  1. CPLD实现GPIB控制器的设计

  2. 综观现今市场上的测试仪器,不难发现GPIB总线有重要的作用,在研制台式测试仪器的时候,客户几乎均要求具备GPIB接口。可是在实际研发过程中,却发现GPIB控制芯片很难购买,而且价格昂贵。而且作为测试仪器具备GPIB的接口,一般只需要具有听、讲、串查功能,而不需要控、并查功能,这样又会造成资源与功能的浪费。所以我们尝试用CPLD来实现GPIB接口的听、讲、串查功能,不仅可拥有自主知识产权,节省了产品的成本,而且具有很大的灵活性。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:149504
    • 提供者:weixin_38698311
  1. 基于DSP与CPLD的智能变电站电网IED设计

  2. 着重介绍基于CPLD与DSP架构的智能变电站电网IED(Intelligent Electronic Device,智能电力监测装置)的硬件架构和软件流程。着重阐述了“高速A/D转换器+CPLD”在信号采集过程中的优势,以及多路信号如何通过CPLD被DSP选择。DSP对信号进行处理,并利用FFT算法的结果分析电网的功率因素和谐波含量。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:256000
    • 提供者:weixin_38631331
  1. 基于CPLD和嵌入式系统的LED点阵显示

  2. 通过采用自顶向下的设计思想,综合运用高速CPLD、双口RAM等技术和芯片,设计出了大、小屏幕皆适合的显示控制电路。特别是利用单片机、CPLD与双口RAM的无缝结合,将复杂的任务分配给不同的硬件处理,满足了对实时性的要求。本系统不仅给大屏幕LED点阵显示提供了优良的控制电路,而且为CPLD器件和EDA技术提供了切实的应用实例。其中,共享双口RAM的应用,为高速总线与低速总线的通信提供了一个新的解决方案。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:331776
    • 提供者:weixin_38546817
  1. 基于CPLD的相控声发射系统设计与实现

  2. 提出一种新的增强声源指向性的电路设计方法,设计了基于复杂可编程逻辑器件(CPLD)的相控声发射系统。该系统由滤波采样、信号延时、按键显示、D/A转换等电路组成,通过控制声波在空气中波阵面的耦合,实现声波的相控发射。试验表明,该系统能够较明显地增强声源指向性。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:372736
    • 提供者:weixin_38716556
  1. 基于CPLD的雷达仿真信号设计实现

  2. 雷达仿真信号发生器主要由输入输出控制和产生仿真信号的CPLD芯片两部分组成。输入输出控制信号是利用测试系统的工控机通过数字I/O卡来产生,当工控机通过数字I/O卡输出有效信号时,发生器将会输出相应的脉冲信号。雷达仿真信号发生器的结构如图1所示。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:115712
    • 提供者:weixin_38554193
  1. 基于DSP和CPLD的宽带信号源的设计

  2. 信号源是雷达系统的重要组成部分。雷达系统常常要求信号源稳定、可靠、易于实现、具有预失真功能,信号的产生及信号参数的改变简单、灵活。本文采用DSP和CPLD来设计信号源的控制部分,一方面能利用DSP软件控制的灵活性,另一方面又能利用CPLD硬件上的高速、高集成度和可编程性。使用这种方法可以充分利用软件支持来生成和加载任意波形数据,并能方便地实现对信号参数的控制和对波形数据的随意修改,同时又能保证信号产生的高速、灵活可控。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:125952
    • 提供者:weixin_38655347
  1. 基于CPLD的MIDI音乐播放器

  2. 本音乐播放器依据MIDI音乐基本原理,结合EDA技术,采用ALTERA公司的可编程逻辑器件(CPLD)EPF10LC84-4作为控制核心而设计的。本文主要阐述了利用VHDL语言设计MIDI音乐发生器芯片,再配上必要的外围电路,从而实现四首音乐选择播放、并配有随音乐节奏而闪烁变化的彩灯等功能的EDA应用系统。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:169984
    • 提供者:weixin_38747126
  1. 基于DSP与CPLD的输电线路局部气象监测装置设计

  2. 为了实现输电线路的局部气象参数采集,必须设计局部气象参数在线监测装置,以便为更高层次的应用决策提供基础数据。本文设计了一种能够实现输电线路局部气象监测、基于“DSP+CPLD”的实时数据采集和监测装置,可以实现环境温度、湿度、大气压力、风速和风向等参数的测量。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:622592
    • 提供者:weixin_38694141
  1. 采用图像传感器的CPLD视觉系统设计方法

  2. 本文介绍了一种基于ARM和CPLD的嵌入式视觉系统,可以实现颜色跟踪。在硬件设计上,图像采集和图像处理分离,更利于系统功能的升级。而视觉处理算法更注重处理的效率和实时性,同时根据不同的需要有两种模式可供选择。最后给出了提高程序效率的一些建议和方法。与基于PC机的视觉系统相比,该系统功耗低、体积小,适合应用于移动机器人等领域。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:145408
    • 提供者:weixin_38591011
  1. 基于AD7892SQ和CPLD的数据采集系统的设计

  2. 本系统以AD7892SQ和CPLD(复杂可编程逻辑器件)为核心设计了一个多路信号采集电路,包括模拟多路复用、集成放大、A/D转换,CPLD控制等。采用硬件描述语言Verilog HDL编程,通过采用CPLD使数据采集的实时性得到提高。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:267264
    • 提供者:weixin_38654589
  1. EDA/PLD中的基于CPLD的线阵CCD驱动电路设计

  2. 摘要论述了线阵CCD 驱动电路的工作原理和现状,选择基于CPLD 驱动线阵CCD 工作的方案。采用MAXⅡ器件的EPM240T100C5N 为控制核心,以TCD1500C 为例,设计了基于CPLD 的线阵CCD 驱动电路,完成了硬件电路的原理图的设计,并实现了软件调试。通过QuartusⅡ软件平台,对其进行了模拟仿真。实验结果表明,设计基于CPLD 的线阵CCD 驱动电路能够满足CCD 工作所需的驱动脉冲。   如何实现高精度的运动装置角度和位移测量,一直是系统或设备设计中需要解决的关键技术之
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:487424
    • 提供者:weixin_38646230
  1. 基于CPLD/FPGA的多串口设计与实现

  2. 本文详细介绍了CPLD/FPGA多串口设计的内部构成,对各个模块的工作原理和关键信号进行了分析,给出了EDA软件仿真形波和测试结果。通过对FPGA/CPLD多串口的设计与实现,为嵌入式系统中串口的扩展提供了一定的帮助。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:276480
    • 提供者:weixin_38522552
  1. 关于单片机脉冲信号源的CPLD实现方法

  2. 单片机产生的脉冲信号源由于是靠软件实现的,所以输出频率及步进受单片机时钟频率、指令数和指令执行周期的限制。文中介绍了一种以CPLD为核心的脉冲信号源,脉冲信号源的参数(频率、占空比)由工控机通过I/O板卡设置,设定的参数由数码管显示,这种脉冲信号源与其它脉冲信号发生电路相比具有输出频率高、步进小(通过选用高速CPLD可提高频率及缩小步进)、精度高、参数调节方便、易于修改等优点。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:1048576
    • 提供者:weixin_38626080
  1. CPLD在基于PCI总线功率模块设计中的应用

  2. 利用CPLD技术实现了逻辑和时序的控制,简化了硬件电路设计。基于CPLD的可编程特点,可以在不改变硬件电路整体结构的情况下对设计电路进行改造、升级以及维护:并且减少了软件程序的操作指令,简化了系统结构,提高了数据处理和读取速度。基于CPLD的PWM控制器电路结构简单,设计方便,简化了外部线路设计,节省了PCB板空间:解决了机电一体化开发平台中MCU模块与功率模块基于PCI总线的通信,并且设计产生占空比和频率范围可调的PWM信号能满足直流电机的要求,适用于自动控制和电力电子领域。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:251904
    • 提供者:weixin_38747216
  1. 单片机与DSP中的51单片机加CPLD让系统更高效

  2. 一、引言   单片机市场可以用巨无霸来形容,其中51 单片机使用者就更多了,针对51 单片机无需更多的介绍,这里必须强调一点,只要你精通了51 单片机,以后在实际工作中选用其它单片机也很容易了,这就是初学者学习51 单片机的价值。选择51 单片机学习入门容易,倒不是说51 系列单片机比别的型号简单,其实在8 位单片机家族中,51 系列单片机算是复杂的,但51 单片机在中国推广最彻底,教程也是最丰富,基本不用看英文资料就能学好,所以特别适合初学者学习用。   下面说说CPLD,从近来跟学生和爱
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:570368
    • 提供者:weixin_38688820
  1. 基于ARM和CPLD的嵌入式视觉系统设计

  2. 搭建一种低成本的嵌入式视觉系统,系统由CMOS图像传感器、CPLD、ARM7微处理器以及SRAM构成。其中,CPLD识别时序,解决了图像采集系统存在的严格时序同步和双CPU共享一片SRAM的总线竞争问题;用Verilog语言编写Mealy状态机控制图像数据写入SRAM,多路数据选择器实现总线切换,避免了总线冲突。图像处理算法注重效率,基于ARM实现,系统最终工作速率为25帧/s。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:156672
    • 提供者:weixin_38551143
« 1 2 ... 45 46 47 48 49 50»