您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于EDA实现D触发器的设计

  2. 通过EDA实现D触发器设计,编译通过,适合初学者,仅供参考。
  3. 所属分类:专业指导

    • 发布日期:2010-01-23
    • 文件大小:751616
    • 提供者:zjp649527
  1. 用D触发器构建步进电机驱动器

  2. 用D触发器构建步进电机驱动器,过程详细,简单,适合DIY,希望对大家有用
  3. 所属分类:其它

    • 发布日期:2010-04-26
    • 文件大小:208896
    • 提供者:dizhonghua88
  1. 含有上升沿触发的D触发器

  2. 含有上升沿触发的D触发器含有上升沿触发的D触发器含有上升沿触发的D触发器含有上升沿触发的D触发器
  3. 所属分类:其它

    • 发布日期:2010-05-04
    • 文件大小:473
    • 提供者:j5155292
  1. EDA的D触发器的程序

  2. EDA的D触发器的程序EDA的D触发器的程序EDA的D触发器的程序
  3. 所属分类:专业指导

    • 发布日期:2010-05-04
    • 文件大小:317
    • 提供者:j5155292
  1. 在MAX+PLUS II中,使用图形编辑器设计一个3位的十进制加法计数器,使用VHDL语言设计一个D触发器

  2. 1.在图形编辑器中设计一个3位的十进制加法计数器,以xxxcnt3.gdf命名保存(‘xxx’为您的姓名拼音首字母)。器件设定为EPM7128LC84-6。要求能够从0计数到999。从999归零时产生一个高电平的报警信号。进行波形仿真,验证功能正确。分析此电路的最高计数频率。 2.修改这个计数器的归零值,使其计数到119就归零,增加异步清零功能,加法计数/减法计数控制功能。 3.在文本编辑器中使用VHDL语言设计一个D触发器,具有反向输出端。命名为xxxdff.vhd,仿真验证。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:167936
    • 提供者:bi_qianyu
  1. 三八译码器及D触发器

  2. 本设计主要利用VHDL进行三八译码器和D触发器的可编程程序设计,利用MAX+plusⅡ进行程序模拟仿真。
  3. 所属分类:专业指导

    • 发布日期:2011-04-22
    • 文件大小:87040
    • 提供者:goodbest0
  1. 基于1_of_2共振隧穿数据选择器的可置位复位D触发器设计

  2. 基于1_of_2共振隧穿数据选择器的可置位复位D触发器设计
  3. 所属分类:专业指导

    • 发布日期:2011-08-04
    • 文件大小:416768
    • 提供者:w39382524
  1. 用D 触发器及555 定时器组成的一种正交脉冲发生器

  2. ( 1) 用555 定时器接成频率可调的多谐振荡器 ( 2) 多谐振荡器与D 触发器组成的电路产生一组正交脉冲
  3. 所属分类:制造

    • 发布日期:2011-08-06
    • 文件大小:180224
    • 提供者:later005
  1. 单D触发器-74V1G79

  2. 免费共享难找的资料,单D触发器-74V1G79,另有单D触发器-74V1G80
  3. 所属分类:硬件开发

    • 发布日期:2011-11-15
    • 文件大小:116736
    • 提供者:yunlc
  1. D触发器原理

  2. D触发器原理,以及原理图,各种实现反方式!!
  3. 所属分类:专业指导

    • 发布日期:2012-03-05
    • 文件大小:161792
    • 提供者:liaojinjong
  1. D触发器的实现

  2. D触发器的实现
  3. 所属分类:专业指导

    • 发布日期:2012-07-13
    • 文件大小:265
    • 提供者:supermoney2011
  1. LabVIEW实现的D触发器

  2. rt,LabVIEW实现的D触发器,依照维基百科提供的端子名实现,LabVIEW 2011实现。
  3. 所属分类:其它

    • 发布日期:2012-08-13
    • 文件大小:8192
    • 提供者:a911504820
  1. D触发器 用VHDL 编写

  2. VHDL D触发器 最简单的VHDL 触发器编写 适用于最基础的人 不信你就下个试试
  3. 所属分类:C#

    • 发布日期:2012-09-03
    • 文件大小:15360
    • 提供者:coffeecolour
  1. D触发器实验

  2. 用cmos做的D触发器设计,各参数的设置及电路仿真。
  3. 所属分类:专业指导

    • 发布日期:2012-09-26
    • 文件大小:470016
    • 提供者:wdh3512507
  1. VHDL d触发器的编写

  2. 简单的d触发器 给初学者帮助 这个可以作为学vhdl的联系题
  3. 所属分类:硬件开发

    • 发布日期:2012-11-17
    • 文件大小:179200
    • 提供者:sunny15100
  1. 反相器链缓冲器级数和尺寸优化,D触发器设计及输出延时优化

  2. VLSI设计和优化问题,包括两个内容:反相器链缓冲器级数和尺寸优化,D触发器设计及输出延时优化。使用hspice网格形式设计优化,文档内代码可直接使用。
  3. 所属分类:电信

    • 发布日期:2013-11-15
    • 文件大小:1048576
    • 提供者:jajupmochiwxxz
  1. EDA VHDL D触发器

  2. EDA 用vhdl语言编写d触发器,供大家学习参考。
  3. 所属分类:其它

    • 发布日期:2013-12-02
    • 文件大小:123904
    • 提供者:u013004574
  1. T、D触发器

  2. D触发器和T触发器 verilog语言编写
  3. 所属分类:硬件开发

    • 发布日期:2014-06-02
    • 文件大小:5120
    • 提供者:yan363282186
  1. D触发器_寄存器

  2. 第17讲D触发器_寄存器,时序逻辑电路,硬件设计
  3. 所属分类:硬件开发

    • 发布日期:2014-07-04
    • 文件大小:849920
    • 提供者:dadizi1018232
  1. 基于fpga的d触发器

  2. 基于fpga的d触发器
  3. 所属分类:软件测试

    • 发布日期:2014-12-21
    • 文件大小:40960
    • 提供者:caomusiyu
« 12 3 4 5 6 7 8 9 10 ... 32 »