您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. DataConvertion入门扫盲

  2. 描述A/D D/A基本原理 入门用! 本PDF文档是EXCEL电子课件转过来的,希望对大家有用。
  3. 所属分类:专业指导

    • 发布日期:2009-07-29
    • 文件大小:2097152
    • 提供者:lao5hua
  1. 数控稳压电源的实现控制

  2. 本资料利用单片机控制电压的输出,中间经过d/a ,a/d转换,很有用的资料,解压报告一份
  3. 所属分类:硬件开发

    • 发布日期:2009-09-05
    • 文件大小:313344
    • 提供者:wwylpf
  1. 常用A/D与D/A型号参数简介

  2. 这个资料 能为你在最短的时间里选择较一款合适的AD芯片提供很大的帮助
  3. 所属分类:嵌入式

    • 发布日期:2009-10-10
    • 文件大小:126976
    • 提供者:hi5858
  1. 几个常见的C语言例程

  2. 万年历星期的算法(C语言) AM12864系列测试程序(C语言) 51单片机串口调试程序一列 TLC5618 12位D/A转换器驱动函数(C语言) HD7279显示驱动演示(C语言) TLC0831A8位A/D转换器驱动程序(C语言) DS18B20驱动程序(C语言) 51单片机串口调试程序(C语言)
  3. 所属分类:C

    • 发布日期:2009-10-18
    • 文件大小:138240
    • 提供者:feiyang8690365
  1. 接口通信实验报告,电子钟,A/D,D/A..

  2. 很好的资源,欢迎大家来下载,以后还会上传更多有用的资源上去
  3. 所属分类:专业指导

    • 发布日期:2009-12-02
    • 文件大小:120832
    • 提供者:fengfeng8888
  1. A_D和D_A转换特性参数测试方法

  2.  简要介绍了A /D、D /A转换器的主要测试参数,着重讨论转换特性参数的测试方法及其在混合集 成电路测试系统上的实现;同时还介绍了A /D、D /A转换器的一些其他测试方法
  3. 所属分类:专业指导

    • 发布日期:2009-12-12
    • 文件大小:250880
    • 提供者:laughing333
  1. 多用途PC接口实验卡硬件实例

  2. 首先介绍整体设计原理,进而介绍组成接口的各个硬件模块,最后介绍一些应用试验,如A/D、D/A、PIO接口实验
  3. 所属分类:专业指导

    • 发布日期:2010-01-19
    • 文件大小:22528
    • 提供者:aurora12344
  1. D/A和A/D选型指南

  2. 直接了当的讲述这样选择ADDA芯片,详尽但不拖沓,精华中的精华!!!!
  3. 所属分类:嵌入式

    • 发布日期:2010-03-26
    • 文件大小:276480
    • 提供者:xiaowbu
  1. AT89S51单片机实验及实践教程

  2. 灯控制实验;循环灯控制实验;动态数码显示控制实验;静态数码显示控制实验;继电器驱动控制实验;8X8点阵实验;RS232串行通信实验;74LS164串/并转换实验;4X4矩阵式键盘按键识别实验;独立式按键识别技术实验;RAM数据存储器扩展实验;音乐控制实验;A/D转换实验;D/A转换实验...
  3. 所属分类:硬件开发

    • 发布日期:2010-05-09
    • 文件大小:1048576
    • 提供者:ancient2008
  1. 计算机控制技术实验指导书

  2. D/A,A/D转换有关的,有正弦波,方波代码
  3. 所属分类:专业指导

    • 发布日期:2010-06-06
    • 文件大小:501760
    • 提供者:M1989YING
  1. DAC0832工作原理

  2. D\A实验 1、掌握DAC0832工作原理 2、在Proteus ISIS环境下,设计硬件电路,编写相关程序,实现三角波的输出。 3、使用示波器显示运行结果
  3. 所属分类:专业指导

    • 发布日期:2010-06-10
    • 文件大小:20480
    • 提供者:sqguohuan
  1. 单片机与pc串行通信的设计

  2. 本单片机系统采用AT89S52控制,整个硬件系统由A/D、D/A转换、LED显示、键盘、串行通信等模块组成。
  3. 所属分类:硬件开发

  1. 单片机实验——阵列式键盘实验和A/D、D/A转换实验

  2. 阵列式键盘实验 A/D、D/A转换实验 可以照着做,很有用的
  3. 所属分类:硬件开发

    • 发布日期:2010-06-18
    • 文件大小:231424
    • 提供者:w867866046apple
  1. 数字基带信号系统DSP设计

  2. 数字通信是信息经编码变换处理后,以数字信号在信道上传输的,较之于模拟通信有很大的优点,因此,数字通信得到迅速发展。数字通信有基带传输和频带传输两种方式,而基带传输系统在数字通信中有重要的代表性,本次课程设计主要对数字基带传输系统的理论进行了探讨。 基于DSP的运用领域和前景,结合我的专业,本次课程设计所选课题为数字基带信号传输。以TMS320C5402DSP为核心,过程中采用的A/D、D/A转换,对信号进行FIR滤波。
  3. 所属分类:硬件开发

    • 发布日期:2010-07-03
    • 文件大小:384000
    • 提供者:jinyaofeng
  1. A/D D/A转换芯片PCF8591

  2.  PCF8591是一款单电源、低功耗8位COMS型A/D、D/A转换芯片,它具有4路模拟量输入通道、一路模拟量输出通道和1个I2C总线接口。该器件I2C从地址的低三位由芯片的A0、A1和A2三个地址引脚决定,所以在不增加任何硬件的情况下同一条I2C总线最多可以连接8个同类型的器件。  该器件具有多路模拟量输入、片上跟踪保持、8位A/D转换和8位D/A转换等功能。A/D与D/A的最大转换速率由I2C总线的最大传输速率决定。
  3. 所属分类:C

  1. 函数信号发生器的设计1、熟悉ISE 软件的设计流程; 2、熟悉D/A转换器的工作原理; 3、熟悉D/A转换器AD558 的工作原理; 4、学习产生不同波形的方法; 15.2

  2. 1、掌握ISE 软件的设计流程; 2、使用C语言产生正弦查找表的系数; 3、使用VHDL语言设计一个正弦波函数发生模块; 4、使用VHDL语言设计一个方波函数发生模块; 5、使用VHDL语言设计一个三角波的函数发生器; 6、下载设计到FPGA芯片中,并通过示波器观察产
  3. 所属分类:C

    • 发布日期:2010-08-08
    • 文件大小:47104
    • 提供者:SHUAISS
  1. 1、掌握ISE 软件的设计流程; 2、使用C语言产生正弦查找表的系数; 3、使用VHDL语言设计一个正弦波函数发生模块; 4、使用VHDL语言设计一个方波函数发生模块; 5、使用VHDL语言设计一个三角波的函数发生器; 6、下载设计到FPG

  2. 1、熟悉ISE 软件的设计流程; 2、熟悉D/A转换器的工作原理; 3、熟悉D/A转换器AD558 的工作原理; 4、学习产生不同波形的方法; 15.2 实
  3. 所属分类:C

    • 发布日期:2010-08-08
    • 文件大小:55296
    • 提供者:SHUAISS
  1. 高效D类多媒体音频功率放大器

  2. 随着HI-FI音响热和家庭影院热的升温及电脑多媒体技术的发展,有越来越多的音频功放被用于工作、学习及家庭娱乐。这些功放大多工作于A类(甲类)或AB类(甲乙类),尽管音质极佳、效果一流,但付出的代价是耗电巨大、效率甚低。 进入新世纪,功放的能耗与效率愈发引起人们的重视,况且节能与环保也是进入21世纪人们所面临的重大课题。因此,伴随着数字影音技术及电脑多媒体技术的发展,高效的D类(丁类)放大器重又引起人们的关注,D类数字音频功放也得到了长足的发展。现在使用的数字音频设备直接输出的是数码信号(如CD
  3. 所属分类:专业指导

    • 发布日期:2010-08-10
    • 文件大小:181248
    • 提供者:xianningdaxue
  1. TLC7226(Four 8-Bit D/A Converters)

  2. features  Four 8-Bit D/A Converters  Microprocessor Compatible  TTL/CMOS Compatible  Single Supply Operation Possible  CMOS Technology applications  Process Control  Automatic Test Equipment  Automatic Calibration of Large System Parameters,
  3. 所属分类:其它

    • 发布日期:2010-08-25
    • 文件大小:459776
    • 提供者:yingzhige518
  1. TLV5638详细介绍

  2. TLV5638 12位串行 D/A转换器 应用实例
  3. 所属分类:专业指导

    • 发布日期:2010-11-12
    • 文件大小:97280
    • 提供者:liaojian15527
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »