您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Debussy 仿真快速上手教程.doc

  2. Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。 可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这套软体呢? 其实Debussy v5.0以后的新
  3. 所属分类:嵌入式

    • 发布日期:2009-08-11
    • 文件大小:441344
    • 提供者:shareone
  1. Debussy 和MODELISM混合的使用

  2. Debussy 和MODELISM混合的使用,windows平台,PPT格式,很经典,实用
  3. 所属分类:专业指导

    • 发布日期:2009-08-11
    • 文件大小:305152
    • 提供者:shareone
  1. Debussy软件教程.pdf

  2. Debussy支持的波形格式是fsdb,是Fast Signal Database的简写。Debussy也可以读取vcd文件, 在它读入vcd文件时,先自动把vcd文件转换成fsdb 文件,然后再读入debussy。  Debussy提供的新的波形文件格式FSDB相比于VCD格式,压缩量大,加载速度快。  Debussy提供了PLI(for Verilog)和FLI(for VHDL)接口,我们可以在仿真时直接导出FSDB文件。Debussy也提供了用于转换VCD文件为FSDB文件的程序(
  3. 所属分类:C++

    • 发布日期:2009-08-13
    • 文件大小:435200
    • 提供者:KOUTENGQIANG
  1. debussy2002

  2. debussy学习,适合各个层次的开发者debussy学习,适合各个层次的开发者
  3. 所属分类:专业指导

    • 发布日期:2009-09-09
    • 文件大小:6291456
    • 提供者:qiangwu864
  1. modelsim 后仿真 V2 最新版

  2. 基于 1, ModelSim Se 6.5 2, Synplify Pro 9.4.2 3, ISE 10.1.03 后仿真的说明文档。 里面还有和debussy结合时产生FSDB文件,需要配置的环境变量,就可以和debussy联合调试
  3. 所属分类:嵌入式

    • 发布日期:2009-11-09
    • 文件大小:192512
    • 提供者:heavensword
  1. Debussy 和MODELISM混合的使用.pdf

  2. Debussy 和MODELISM混合的使用.pdf
  3. 所属分类:专业指导

    • 发布日期:2009-11-18
    • 文件大小:305152
    • 提供者:dt
  1. Debussy的使用(中文)

  2. Debussy是NOVAS Software, Inc(思源科技)發展的HDL Debug & Analysis tool,這套軟體主要不是用來跑模擬或看波形,它最強大的功能是:能夠在HDL source code、schematic diagram、waveform、state bubble diagram之間,即時做trace,協助工程師debug。
  3. 所属分类:专业指导

    • 发布日期:2010-04-22
    • 文件大小:439296
    • 提供者:lt198709170017
  1. debussy软件教程,,,,,,,

  2. debussy软件教程,,,,,,,入门必看的啊。。呵呵。。。。。。希望对你有用。。
  3. 所属分类:其它

    • 发布日期:2010-08-05
    • 文件大小:435200
    • 提供者:mikiah
  1. Debussy 教程

  2. Debussy 教程,学习debussy软件很好的书籍,和大家分享
  3. 所属分类:专业指导

    • 发布日期:2010-10-11
    • 文件大小:1048576
    • 提供者:leeluojm
  1. Debussy和modelsim仿真实例

  2. debussy和modelsim联合仿真的实际例子,包括源码和一些关键的脚本配置,运行在windows下,每个LAB文件夹代表一个实验
  3. 所属分类:硬件开发

    • 发布日期:2012-03-15
    • 文件大小:8192
    • 提供者:lsz21
  1. Modelsim与debussy协同仿真方法

  2. Modelsim与debussy协同仿真就是利用modelsim产生.fsdb文件
  3. 所属分类:嵌入式

    • 发布日期:2008-06-30
    • 文件大小:967
    • 提供者:ycyong2008
  1. Debussy软件教程

  2. Debussy软件教程.pdf
  3. 所属分类:硬件开发

    • 发布日期:2013-03-12
    • 文件大小:435200
    • 提供者:zzg207
  1. debussy工具使用手册

  2. debussy工具使用手册;学习fpga的得力工具;追踪信号的好帮手
  3. 所属分类:硬件开发

    • 发布日期:2013-08-23
    • 文件大小:1048576
    • 提供者:hfyfpga
  1. debussy学习小结

  2. 作为数字IC前端设计的必备工具,debussy具有强大的波形debug功能,本文档根据实际使用经验,对debussy进行了总结。
  3. 所属分类:硬件开发

    • 发布日期:2013-08-28
    • 文件大小:920576
    • 提供者:why_900109
  1. Debussy下载

  2. Debussy软件下载 debussy54v9+crack.exe
  3. 所属分类:硬件开发

    • 发布日期:2013-11-27
    • 文件大小:24576
    • 提供者:cherishlei
  1. Debussy实用教程

  2. 从入门到精通的有关debussy的详细教程,有丰富的图片实例。
  3. 所属分类:专业指导

    • 发布日期:2008-10-03
    • 文件大小:378880
    • 提供者:yisaogua
  1. debussy简介

  2. 介绍debussy的各种功能,命令及调试方法!作为初学材料非常实用
  3. 所属分类:硬件开发

    • 发布日期:2015-01-05
    • 文件大小:338944
    • 提供者:u014654814
  1. Debussy官方指导全

  2. Debussy是一套很好的Verilog\VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的bug,提高效率,缩短产品上市时间
  3. 所属分类:专业指导

    • 发布日期:2009-02-22
    • 文件大小:6291456
    • 提供者:vivianlan1990
  1. debussy入门教程

  2. Debussy是NOVAS Software, Inc(思源科技)發展的HDL Debug & Analysis tool,這套軟體主要不是用來跑模擬或看波形,它最強大的功能是:能夠在HDL source code、schematic diagram、waveform、state bubble diagram之間,即時做trace,協助工程師debug。
  3. 所属分类:专业指导

    • 发布日期:2009-03-27
    • 文件大小:452608
    • 提供者:vivianlan1990
  1. debussy下载与安装教程

  2. Debussy是NOVAS Software, Inc ( 思源科技 )发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。 该文档提供debussy下载,以及安装教程。
  3. 所属分类:互联网

    • 发布日期:2020-10-03
    • 文件大小:998400
    • 提供者:weixin_44167319
« 12 3 »