您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的基于EDA的交通灯控制系统 (图)

  2. Traffic light control system based on EDA 摘 要:本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。关键词:EDA;VHDL;控制器;CPLD 引言---EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:106496
    • 提供者:weixin_38614377