您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的基于FPGA 的MSK 调制解调器设计与应用

  2. 摘要:提出了一种基于FPGA 的数字MSK 调制解调器设计方法,应用VHDL 语言进行了模块设计和时序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA 上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。   数字调制解调器在点对点的数据传输中得到了广泛的应用。通常的二进制数字调制解调器是建立在模拟载波上的,在电路实现时需要模拟信号源,这会给全数字应用场合带来不方便。本文分析了MSK(最小频移键控)数字调制信号特征,提出一种全数字固定数据速率MSK
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:188416
    • 提供者:weixin_38711369