您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 《EDA》技术I实验指导书

  2. 很好的《EDA》技术I实验指导书! 《EDA技术I》实验教学大纲 1 第一部分:《电子设计自动化设计》实验说明 3 一、设计题目选择的要求 3 二、提交设计报告的要求 3 三、设计题目 3 四、实验考核方式说明 4 第二部分:基于GEXIN EDAPRO/240H实验仪实验 5 题目一 MAX+PLUSII基本操作 5 题目二 QUARTUSⅡ基本操作 5 题目三 FPGA compiler基本操作 6 题目四 4bit二进制加法器设计 6 题目五 4bit频率计设计 7 题目六 计数器设计
  3. 所属分类:交通

    • 发布日期:2009-12-03
    • 文件大小:2097152
    • 提供者:huangluxing163
  1. EDA实验报告_序列检测器__七段数码显示译码__数控分频_全加器

  2. EDA实验报告_序列检测器__七段数码显示译码__数控分频_全加器
  3. 所属分类:专业指导

    • 发布日期:2010-12-11
    • 文件大小:1048576
    • 提供者:lapter123456
  1. EDA实验报告 七段数码显示译码器的设计

  2. 7段数码管是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。
  3. 所属分类:专业指导

    • 发布日期:2011-05-19
    • 文件大小:178176
    • 提供者:xiancc
  1. EDA实验报告

  2. 4位全加器,组合电路VHDL描述,,七段数码显示译码器设计, 数控分频器的设计等
  3. 所属分类:PHP

    • 发布日期:2012-01-07
    • 文件大小:664576
    • 提供者:h19923525l
  1. EDA技术实验报告

  2. 包括4位全加器 四选一数据选择器 4位加法计数器 七段数码显示译码器等的VHDL程序及仿真
  3. 所属分类:硬件开发

    • 发布日期:2012-06-11
    • 文件大小:664576
    • 提供者:odong_1990
  1. eda实验报告

  2. eda课程的实验报告模板 关于七段译码器在实验板上显示
  3. 所属分类:讲义

    • 发布日期:2015-05-14
    • 文件大小:106496
    • 提供者:baidu_28185305
  1. EDA七段译码器实验报告

  2. 本资源是EDA七段译码器实验报告,非常详细阿!
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:504832
    • 提供者:xujianpu