点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - EDA工程
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
范例工程EDA_TEST.rar
范例工程EDA范例工程EDA范例工程EDA范例工程EDA范例工程EDA范例工程EDA范例工程EDA。
所属分类:
专业指导
发布日期:2009-07-15
文件大小:14mb
提供者:
mikenee
基于EDA技术模块化设计的数字秒表
该数字秒表基于EDA技术模块化设计,利用QuatusII软件进行编译,采用自顶向下的方法设计而成。提供全部源代码及工程文件,为学习QuatusII自顶向下方法的朋友提供参考。
所属分类:
专业指导
发布日期:2009-07-30
文件大小:189kb
提供者:
tandesir
EDA技术与数字系统设计
EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计(Computer Assist Design,简称CAD)、计算机辅助工程设计(Computer Assist Engineering Design,简称CAED)和电子设计自动化(Electronic Design Automation,简称EDA)三个发展阶段。
所属分类:
专业指导
发布日期:2009-09-19
文件大小:8mb
提供者:
ljh2006833
EDA习题解答 电子设计自动化 计算机辅助设计
EDA习题解答,电子设计自动化,计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE),本科EDA课程解答。
所属分类:
制造
发布日期:2009-10-30
文件大小:336kb
提供者:
chliangp
VHDL 实用教程EDA自动化设计
关于EDA的经典教程,本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA 的数字滤波器设计第11 章多种常用 的支持VHDL 的EDA 软件使用介绍第12 章VHDL 数字系统设计实践介绍第13 章和大学生电子设计赛题的VHDL 应用介绍第14 章全书列举了大量VHDL 设
所属分类:
硬件开发
发布日期:2009-12-30
文件大小:4mb
提供者:
luomin5417
NIOS工程建立(3)
EDA开发必会的东西,SOPC发展突飞猛进,同志们努力吧,加油
所属分类:
iOS
发布日期:2010-04-07
文件大小:4mb
提供者:
mumu122100
电子设计自动化EDA(Electronic Design Automation)
电子设计自动化EDA(Electronic Design Automation)是近几年来发展起来的软件、硬件和微电子技术交叉形成的现代电子设计技术。开发人员可以通过自己设计电路来定制其芯片内部的功能,使之成为专用集成电路芯片。在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、
所属分类:
嵌入式
发布日期:2010-04-26
文件大小:207kb
提供者:
satenbaby
基于EDA技术的数字电子时钟设计修改
电子设计自动化 Electronic Design Automation (以下简称EDA)技术已经代替传统的集成电路设计方法,逐渐成为电子系统设计者的主要设计手段。MAXplusⅡ是EDA仿真软件之一。具有功能强大、界面友好和使用方便等特点,是目前教育与工业界流行的集成电路辅助设计软件。MAXplusⅡ是一种在电子技术工程与电子技术教学中广泛应用的优秀计算机仿真软件,被誉为“计算机里的电子实验室”。本文介绍了一种基于MAXplusⅡ软件设计数字电子钟的方法。
所属分类:
嵌入式
发布日期:2010-05-17
文件大小:394kb
提供者:
d602909401
EDA课程设计,已做好,下载即可看
基于FPGA做的几个实例,下载后,解压,用QuartusII打开工程即可,如果要看最终效果,可以下载到DE2上。 正弦波形发生器 动态输出4位十进制频率计
所属分类:
硬件开发
发布日期:2010-05-20
文件大小:3mb
提供者:
xiaojun5123
EDA状态编程,EDA技术工程
eda,状态机,大二eda技术工程,快速学会
所属分类:
专业指导
发布日期:2010-05-28
文件大小:1kb
提供者:
dxw514824111
eda的数码管显示工程
这是一个关于eda的资料,对大家了解数码管很有帮助,可以开阔思路
所属分类:
专业指导
发布日期:2010-05-31
文件大小:29kb
提供者:
ztt19851213
EDA—EDA技术实用教程(pdf影印)
学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
所属分类:
硬件开发
发布日期:2010-06-07
文件大小:8mb
提供者:
zt839486421
EDA工程的理论与实践:SOC系统芯片设计
EDA工程的理论与实践:SOC系统芯片设计
所属分类:
嵌入式
发布日期:2008-02-13
文件大小:8mb
提供者:
morre
EDA—EDA技术实用教程
综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
所属分类:
硬件开发
发布日期:2010-11-19
文件大小:8mb
提供者:
sundyqt
《EDA技术基础与应用》说课课件
《EDA 技术应用》说课课件开设专业及授课对象:应用电子技术(高职)专业、三年级学生开设目的:1)EDA 技术已广泛应用于社会各个领域,掌握EDA技术是培养高素质高技能电子产品设计人才的需要;2)EDA技术是现代集成电路及电子整机系统设计科技创新和产业发展的关键技术,代表电子设计和应用技术的发展方向,掌握EDA技术,是走向市场、走向社会、走向国际的基本技能;3)为现代EDA工程技术的进一步学习,ASIC器件设计以及超大规模集成电路设计奠定基础。
所属分类:
专业指导
发布日期:2011-02-26
文件大小:87kb
提供者:
mayaolaoshi
病房呼叫系统
这是一个EDA工程,是一个病房呼叫系统实例
所属分类:
群集服务
发布日期:2014-04-27
文件大小:187kb
提供者:
bqbyf520
hello 自动循环 EDA
EDA工程 hello FPGA library ieee; use ieee.std_logic_1164.all; entity ex7_part2_top is port (sw :in std_logic_vector(17 downto 0); hex0: out std_logic_vector(6 downto 0); hex1: out std_logic_vector(6 downto 0); hex2: out std_logic_vector(6 downto 0); h
所属分类:
硬件开发
发布日期:2014-05-15
文件大小:917byte
提供者:
baidu_15417855
EDA工程的理论与实践:SOC系统芯片设计
EDA工程的理论与实践:SOC系统芯片设计,详细简明的介绍了EDA在工程的运用技巧和方法
所属分类:
嵌入式
发布日期:2008-12-05
文件大小:8mb
提供者:
lllowen
EDA 自学指导——EDA原创教程
原创教程,独一无二!!! EDA工程就是以计算机为工作平台,以EDA软件工具为开发环境,以硬件描述语言HDL为设计语言,以可编程器件FPGA/CPLD为实验载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
所属分类:
硬件开发
发布日期:2009-03-04
文件大小:5mb
提供者:
long597
div10.qp fVHDL语言 时钟10分频 EDA工程
VHDL语言 时钟10分频 EDA工程 学习EDA课程或者参加集成电路大赛或电子设计竞赛可能会需要吧……
所属分类:
其它
发布日期:2019-05-13
文件大小:1kb
提供者:
weixin_43670124
«
1
2
3
4
5
6
7
8
9
10
...
16
»