您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FFT处理器寄生参数提取和静态时序分析

  2. 本文在简要介绍寄生参数提取工具Star-RCXT和静态时序分析工具PrimeTime的基础上,对已通过物理验证工具Calibre DRC和LVS的FFT处理器版图用Star-RCXT工具进行了基于CCI的寄生参数提取,得到内部互连网络的详细寄生电容和电阻值。最后,用 PrimeTime工具进行了精确的版图时序分析。
  3. 所属分类:其它

    • 发布日期:2020-07-29
    • 文件大小:99328
    • 提供者:weixin_38681301