您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. TI2812DSP的SCI串口FIFO中断方式例程

  2. TI2812DSP的SCI串口FIFO中断方式例程,有详细的注释,方便大家调试DSP使用
  3. 所属分类:硬件开发

    • 发布日期:2009-09-25
    • 文件大小:302080
    • 提供者:ken831001
  1. FIFO的基本知识 PDF版

  2. 介绍了FIFO的基本原理,以及设计要点,文章写的比较清楚易懂,希望对大家有帮助 PS:为什么一定要20字啊
  3. 所属分类:专业指导

    • 发布日期:2009-09-28
    • 文件大小:1048576
    • 提供者:fengzhishang58
  1. FIFo应用例子(VerilogHDL)

  2. 一个关于使用FIFO进行LCD显示的例子,对于Verilog-FIFO学习很有帮助
  3. 所属分类:专业指导

    • 发布日期:2009-09-29
    • 文件大小:7340032
    • 提供者:langjitianya056
  1. VHDL---FIFO例子

  2. VHDL------FIFO例子对于初学者希望有帮助!!!
  3. 所属分类:专业指导

    • 发布日期:2009-09-29
    • 文件大小:4096
    • 提供者:langjitianya056
  1. FPGA异步FIFO设计中的问题与解决办法.PDF

  2. FPGA异步FIFO设计中的问题与解决办法.PDF
  3. 所属分类:硬件开发

    • 发布日期:2009-10-13
    • 文件大小:211968
    • 提供者:wu501664470
  1. 页面置换算法(FIFO算法,LRU算法)

  2. 关于操作系统的页面置换算法,包括FIFO算法,LRU算法,程序,流程图
  3. 所属分类:其它

    • 发布日期:2009-10-20
    • 文件大小:70656
    • 提供者:enenenyeyeye
  1. FIFO的程序及定义

  2. 什么是FIFO?什么情况下用FIFO? FIFO的一些重要参数,FIFO设计的难点。
  3. 所属分类:专业指导

    • 发布日期:2009-10-26
    • 文件大小:4096
    • 提供者:renbinxuling
  1. 命名管道,FIFO。

  2. FIFO(命名管道)Linux,带有eclipse CDT完整workspace。
  3. 所属分类:Linux

    • 发布日期:2009-10-27
    • 文件大小:1048576
    • 提供者:Potossas
  1. 异步FIFO的Verilog实现

  2. 使用verilog语言在modelsim下实现异步FIFO的功能,进行功仿,没有问题
  3. 所属分类:其它

    • 发布日期:2009-11-02
    • 文件大小:1024
    • 提供者:jjia1223
  1. FIFO内存管理算法实现

  2. RT。 用C语言写的一个FIFO内存管理的实现,编译环境为VS2008共有4个文件,结果输出到屏幕。
  3. 所属分类:C

    • 发布日期:2009-11-04
    • 文件大小:332800
    • 提供者:lyleolibra
  1. 异步FIFO结构异步FIFO结构

  2. 异步FIFO结构 异步FIFO结构 异步FIFO结构 异步FIFO结构
  3. 所属分类:C/C++

    • 发布日期:2009-11-07
    • 文件大小:712704
    • 提供者:dykwai
  1. FIFO调度算法&最佳置换算法

  2. 分页式存储管理 FIFO调度算法&最佳置换
  3. 所属分类:其它

    • 发布日期:2009-11-13
    • 文件大小:6144
    • 提供者:horieyuic
  1. 置换算法(OPT和FIFO算法)模拟

  2. 操作系统的课程设计,含有课程设计文档,置换算法(OPT和FIFO算法)模拟
  3. 所属分类:其它

    • 发布日期:2009-11-14
    • 文件大小:2097152
    • 提供者:fzkj1989
  1. 操作系统的页面置换算法(FIFO和LRU)

  2. 操作系统的页面置换算法(FIFO和LRU)
  3. 所属分类:其它

    • 发布日期:2009-11-14
    • 文件大小:197632
    • 提供者:fzkj1989
  1. 基于多时钟域的异步FIFO设计

  2. 摘要:在大规模集成电路设计中,一个系统包含了很多不相关的时钟信号,当其目标域时钟与源域时钟不同时,如何 在这些不同域之间传递数据成为了一个重要问题。为了解决这个问题,我们可以用一种异步FIFO(先进先出)存储器 来实现。本文介绍了一种利用格雷码指针实现在多时钟域传递数据的FIFO 设计
  3. 所属分类:专业指导

    • 发布日期:2009-12-01
    • 文件大小:176128
    • 提供者:zwcs0801
  1. 基于java实现FIFO和LRU

  2. 用java实现的FIFO算法和最近最久未使用算法,当时,这个是我们操作系统的课设,现在和大家分享一下。
  3. 所属分类:其它

    • 发布日期:2009-12-08
    • 文件大小:14336
    • 提供者:lovelmf1212
  1. FIFO,LRU,OPT页面调度算法

  2. 页面调度的三种算法,包括FIFO,LRU,OPT!
  3. 所属分类:其它

    • 发布日期:2009-12-10
    • 文件大小:4096
    • 提供者:jackwumengfeng
  1. FIFO消息队列(支持内存、文件双缓存) win32 SDK V1.0

  2. 一般的FIFO消息队列都是基于内存,即所有的消息都保存在内存中。但是在很多情况下,消息队列中的消息数目难以确定,可能超过系统内存的容量,导致传统的FIFO消息队列无法满足应用的需求,所以推出基于内存加磁盘文件的FIFO消息队列。 基于缓存文件的消息队列是消息队列的消息保存在内存和缓存文件中,当内存中 的消息超过指定的数量后,把消息队列中的部分消息保存到一个或多个消息文件中。但是消息队列中的头部若干消息和尾部的若干消息始终保持在内存,用于提高整体性能,由于使用磁盘文件作为二次缓冲,而目前的磁盘容
  3. 所属分类:其它

    • 发布日期:2009-12-11
    • 文件大小:204800
    • 提供者:freeland008
  1. 页面置换算法(FIFO,LRU,最佳和Clock四种算法)

  2. 页面置换算法(包括FIFO,LRU,最佳和Clock四种置换算法算法),个人觉得比较详细
  3. 所属分类:其它

    • 发布日期:2009-12-19
    • 文件大小:5120
    • 提供者:wanghaofeng
  1. 操作系统OPT FIFO LRU

  2. 操作系统 OPT FIFO LRU 算法,有详细注释
  3. 所属分类:其它

    • 发布日期:2009-12-21
    • 文件大小:506880
    • 提供者:xmusoftware1208
« 1 23 4 5 6 7 8 9 10 ... 50 »