您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. IT行业中必备技能之UNIX最佳学习资料

  2. IT行业中必备技能之UNIX最佳学习资料 目 录 译者序 译者简介 前言 第1章 UNIX基础知识 1 1.1 引言 1 1.2 登录 1 1.2.1 登录名 1 1.2.2 shell 1 1.3 文件和目录 2 1.3.1 文件系统 2 1.3.2 文件名 2 1.3.3 路径名 2 1.3.4 工作目录 4 1.3.5 起始目录 4 1.4 输入和输出 5 1.4.1 文件描述符 5 1.4.2 标准输入、标准输出和标准 出错 5 1.4.3 不用缓存的I/O 5 1.4.4 标准I/O
  3. 所属分类:网络攻防

    • 发布日期:2009-05-26
    • 文件大小:13631488
    • 提供者:justdoit20080604
  1. atmega128中文资料

  2. atmega128中文资料 ATMEL公司的 8位系列单片机的最高配置的一款单片机,应用极其广泛   ATmega128主要特性如下:   · 高性能、低功耗的 AVR 8 位微处理器   · 先进的 RISC 结构   – 133 条指令 – 大多数可以在一个时钟周期内完成   – 32 x 8 通用工作寄存器 + 外设控制寄存器   – 全静态工作   – 工作于16 MHz 时性能高达16 MIPS   – 只需两个时钟周期的硬件乘法器   · 非易失性的程序和数据存储器   – 128
  3. 所属分类:硬件开发

    • 发布日期:2010-03-18
    • 文件大小:7340032
    • 提供者:zzh0416
  1. 网络工程师学习资料pdf

  2. 序言 前言 第1章 引言 1.1 计算机网络的产生和发展 1.2 计算机网络的功能 1.3 计算机网络分类 1.3.1 局域网 1.3.2 城域网 1.3.3 广域网 1.3.4 互联网 1.3.5 无线网 1.4 网络体系结构 1.4.1 协议分层 1.4.2 服务类型 1.4.3 服务原语 1.5 ISO/OSI参考模型 1.5.1 参考模型 1.5.2 模型评价 1.6 本书的结构 第一部分 数据通信 第2章 数据通信基础知识 2.1 基本概念 2.1.1 信号与通信 2.1.2 模拟通
  3. 所属分类:网络基础

    • 发布日期:2010-04-03
    • 文件大小:1048576
    • 提供者:linguangchaung
  1. MEGA128中文资料

  2. 产品特点 • 高性能、低功耗的 AVR® 8 位微处理器 • 先进的 RISC 结构 – 133 条指令 – 大多数可以在一个时钟周期内完成 – 32 x 8 通用工作寄存器 + 外设控制寄存器 – 全静态工作 – 工作于16 MHz 时性能高达16 MIPS – 只需两个时钟周期的硬件乘法器 • 非易失性的程序和数据存储器 – 128K 字节的系统内可编程Flash 寿命: 10,000 次写/ 擦除周期 – 具有独立锁定位、可选择的启动代码区 通过片内的启动程序实现系统内编程 真正的读-
  3. 所属分类:Flash

    • 发布日期:2010-04-13
    • 文件大小:3145728
    • 提供者:guofeihuiyuan
  1. Zigbee技术资料汇总

  2.  Zigbee是IEEE 802.15.4协议的代名词。根据这个协议规定的技术是一种短距离、低功耗的无线通信技术。这一名称来源于蜜蜂的八字舞,由于蜜蜂(bee)是靠飞翔和“嗡嗡”(zig)地抖动翅膀的“舞蹈”来与同伴传递花粉所在方位信息,也就是说蜜蜂依靠这样的方式构成了群体中的通信网络。其特点是近距离、低复杂度、自组织、低功耗、低数据速率、低成本。主要适合用于自动控制和远程控制领域,可以嵌入各种设备。
  3. 所属分类:网络基础

    • 发布日期:2010-07-02
    • 文件大小:9437184
    • 提供者:qin380648788
  1. IEEE标准潮流计算数据(3节点~300节点全)

  2. 完整的潮流计算的ieee标准数据格式,完整的数据格式资料,并有各个节点的电路图,还有清华格式,bpa格式的完整数据,与大家分享一下!
  3. 所属分类:专业指导

    • 发布日期:2011-02-09
    • 文件大小:1048576
    • 提供者:wb635595303
  1. 华为专题华为资料学习华为

  2. 1、目前,我国应用最为广泛的LAN标准是基于()的以太网标准.Q^ UC(r0(A) IEEE 802.1IZer's Blog(博客) \b-S6C)}2a6` (B) IEEE 802.2 wj.y4ND+J$r0(C) IEEE 802.3IZer's Blog(博客)*C_!i,o)V (D) IEEE 802.5 IZer's Blog(博客)/P amZ*bs,w/d3Y&c xV-V[Y]`3[|]p.[02、对于这样一个地址,192.168.19.255/20,下列说法正确的
  3. 所属分类:网络基础

    • 发布日期:2011-06-03
    • 文件大小:294912
    • 提供者:yukequan
  1. RTL8019AS中文资料

  2. RTL8019AS是由台湾Realtek公司生产的以太网控制器。他符合Ethernet II与IEEE 802.3标准,100脚的PQFP封装,采用全双工收发并可同时达到10 Mb/s的速率,内置16 kB的SRAM,支持8/16位数据总线,8个中断申请线以及16个I/O基地址选择等。
  3. 所属分类:网络基础

    • 发布日期:2008-08-21
    • 文件大小:257024
    • 提供者:lifu315
  1. 电脑学习资料

  2. 各类编程语言汇总。 IT认证类:软件水平等级考试思科认证微软认证Sun认证Linux认证IEEE认证 Java技术:Java SEJava EEJava ME .Net技术:C#语言VB.NETASP.NETVC.NET.NET框架ADO.NETSilverlight 开发语言:C/C++VC/MFCPerlPythonRuby汇编语言数据结构 数据库:SQL ServerMySQLOracle Web开发:HTML/CSSJavascr iptPHPFlex/AIRXML 类Unix:Lin
  3. 所属分类:专业指导

    • 发布日期:2013-06-14
    • 文件大小:10240
    • 提供者:u011063040
  1. IEEE数据大全

  2. 包括所有的IEEE节点数据,带有数据说明。
  3. 所属分类:其它

    • 发布日期:2013-12-26
    • 文件大小:2097152
    • 提供者:buddha5858
  1. IEEE标准测试系统数据

  2. 电力系统常用的几个IEEE标准测试系统的数据,是电力系统专业的研究者必备资料
  3. 所属分类:软件测试

    • 发布日期:2014-01-24
    • 文件大小:3145728
    • 提供者:u013550943
  1. ieee各种节点数据程序

  2. IEEE的一些资料,可以看到14节点的确实很难得的资料哦,我找了半天的,不容易啊
  3. 所属分类:其它

    • 发布日期:2014-03-17
    • 文件大小:1048576
    • 提供者:u011222950
  1. IEEE标准测试系统数据

  2. IEEE标准测试系统数据,可以为电力系统开发人员提供的详细资料
  3. 所属分类:bada

    • 发布日期:2015-08-18
    • 文件大小:356352
    • 提供者:osixxb
  1. DLS中英文资料.rar

  2. 1-Wire?器件列表 DS1990R: 序列号iButton DS28E01-100: 1k位、保护型1-Wire EEPROM,带有SHA-1引擎 DS2413: 1-Wire双通道、可编址开关 DS28E04-100: 4096位、可寻址、1-Wire EEPROM,带有PIO DS2482-100: 单通道1-Wire主控制器 DS2482-800: 八通道1-Wire主控制器 DS2431: 1024位、1-Wire EEPROM DS9090K: 1-Wire器件评估板, B版 D
  3. 所属分类:嵌入式

    • 发布日期:2009-04-25
    • 文件大小:5242880
    • 提供者:linyujiang
  1. IEEE潮流计算标准算例3-330节点.zip

  2. IEEE潮流计算标准算例3-330节点,完整的潮流计算的ieee标准数据格式,完整的数据格式资料。
  3. 所属分类:电信

    • 发布日期:2020-06-20
    • 文件大小:2097152
    • 提供者:hxt4444
  1. IEEE标准潮流计算数据(3节点~300节点全)

  2. 完整的潮流计算的ieee标准数据格式,完整的数据格式资料,并有各个节点的电路图,还有清华格式,bpa格式的完整数据,与大家分享一下!
  3. 所属分类:专业指导

    • 发布日期:2020-10-06
    • 文件大小:1048576
    • 提供者:z273894270
  1. JTAG技术入门文档资料

  2. JTAG(Joint Test Action Group,联合测试工作组)是一种国际标准测试协议(IEEE 1149.1兼容),主要用于芯片内部测试。现在多数的高级器件都支持JTAG协议,如DSP、FPGA器件等。标准的JTAG接口是4线:TMS、TCK、TDI、TDO,分别为模式选择、时钟、数据输入和数据输出线。
  3. 所属分类:嵌入式

    • 发布日期:2020-10-12
    • 文件大小:8388608
    • 提供者:weixin_42181052
  1. IEEE数据大全.rar

  2. 电气工程_上海_马上要写硕士论文研究配电网方面总结好的资料分享给大家!奥里给~
  3. 所属分类:电信

    • 发布日期:2020-12-18
    • 文件大小:2097152
    • 提供者:weixin_45732433
  1. open-dis-java:分布式交互式仿真(DIS)协议6和7的Java实现,IEEE-1278.1-源码

  2. 为Java打开DIS 介绍 该存储库包含分布式交互式模拟(DIS)IEEE-1278标准的Java实现,该标准通常在军事模拟中使用。 该库由代表协议数据单元(PDU)的类组成。 这些类具有字段,获取器和设置器,并且能够在DIS二进制格式之间进行编组和解组。 许多类最初都是使用生成的。 该库还提供了支持类,这些类可从网络读取和写入PDU,将PDU记录到文件等。 文献资料 当前和先前工件版本的Javadocs可以在上找到。 或自行生成javadocs,请运行mvn javadoc:javadoc
  3. 所属分类:其它

    • 发布日期:2021-02-27
    • 文件大小:790528
    • 提供者:weixin_42149145
  1. oresat-dxwifi-hardware:用于使用2.4 GHz WiFi进行高速数据通信的OreSat硬件-源码

  2. oresat-dxwifi-硬件 该存储库用于将在OreSat卫星上运行的DxWiFi硬件。 DxWiFi是我们的航天器和地面之间使用2.4 GHz WiFi的高速数据(1 Mbps)的通信子系统。 这仅是用于卫星的硬件。 如果您正在寻找或的请参见其他回购。 我们打算将“普通WiFi”(IEEE 802.11b)用作高速卫星通信系统。 不同寻常的是,我们在业余无线电规则和规定(FCC第97部分)下运行无线电,因此会增加使链路预算正常工作所需的功率水平和天线增益。 普通WiFi 由于Linu
  3. 所属分类:其它

    • 发布日期:2021-02-12
    • 文件大小:37748736
    • 提供者:weixin_42121412
« 12 3 4 »