您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. BUS Hound

  2. Bus Hound 5.0Copyright (C) Perisoft 2000-2003. All rights reserved worldwide.Bus Hound is a powerful software bus analyzer for capturing I/O and protocol from devices. Features include: Supports every version of IDE, SCSI, USB, 1394 Supports all d
  3. 所属分类:C

    • 发布日期:2007-11-20
    • 文件大小:668kb
    • 提供者:xinyu_pan
  1. 数据恢复软件-Data Recovery Wizard Pro v4.3.6 (繁中優化版)

  2. EASEUS Data Recovery Wizard Professional 数据的误删最是令人感到心痛,例如:重要文件、照片、影片…等。所以,我们就可透过 Data Recovery Wizard 这样的软件,来帮我们挽救这些被误删的数据,Data Recovery Wizard 支持 FAT 12、FAT 16、FAT 32 以及 NTFS 的档案格系统,同时它也支持 IDE/ATA、SATA、SCSI、IEEE 1394、随身碟、ZIP 等类型的硬件装置,对于常见的图片格式,例如:B
  3. 所属分类:Windows Server

    • 发布日期:2011-12-05
    • 文件大小:3mb
    • 提供者:appleufo
  1. 易我数据恢复软件

  2. EaseUS Data Recovery v6.0 官方最新注册版 软件介绍:   EaseUS Data Recovery Wizard 是一款数据恢复能力非常强大的恢复软件,支持 FAT 12、FAT 16、FAT 32 以及 NTFS 的档案格系统,同时它也支持 IDE/ATA、SATA、SCSI、IEEE 1394、随身碟、ZIP 等类型的硬件装置,对于常见的图片格式,例如:BMP、JPEG、GIF、PNG…等,还提供了预览功能。   如果软件有访问网络的请求,可以用防火墙将它拦截下来
  3. 所属分类:其它

    • 发布日期:2014-01-05
    • 文件大小:7mb
    • 提供者:dz3690
  1. ISO13400 最新全套-共4个.zip

  2. 结合 ISO 14229使用;ISO 14229-1, ISO 14229-5 Road vehicles - Diagnostic communication over Internet Protocol (DoIP) Part 1: General information and use case definition-2011 Part 2: Transport protocol and network layer services-2012 Part 3: Wired vehicle
  3. 所属分类:交通

  1. IRIG_B.zip

  2. 内含IEEE1344-1995(R2001)PDF文档 、 基于FPGA的IRIG_B解码电路设计与实现PDF文档、VERLOG解码代码实现 IEEE Standard for Synchrophasors for Power Systems 1. Overview 1.1 Scope This is a standard for synchronized phasor measurement systems in substations. It addresses synch
  3. 所属分类:其它

    • 发布日期:2020-04-13
    • 文件大小:317kb
    • 提供者:qq_36295552
  1. 机器学习论文合集(pdf格式).zip

  2. 25篇机器学习经典论文合集,有需要欢迎积分自取 Efficient sparse coding algorithms论文附有代码 [1] Zheng S, Kwok J T. Follow the moving leader in deep learning[C]//Proceedings of the 34th International Conference on Machine Learning-Volume 70. JMLR. org, 2017: 4110-4119. [2] K
  3. 所属分类:深度学习

    • 发布日期:2020-03-10
    • 文件大小:74mb
    • 提供者:LLL_mg
  1. IEEE+data.zip

  2. IEEE所有节点数据。电力系统的分析和计算中,需要不同网络结构和参数。在应 用这些参数之前,收集和整理工作会花费大量的时间和精力。在此,我们将一些在工作中常用的数据集中在一起,借助现代网络 的技术,供大家参考和使用。提高广大学生,工作人员和研究人员的效率。
  3. 所属分类:电信

    • 发布日期:2020-01-09
    • 文件大小:2mb
    • 提供者:qq_32894219
  1. NDI_Dec.zip

  2. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library NDI_Dec; use NDI_Dec.NDI_Pkg.all; component Dec_Core_E is generic ( CORE_ID : integer := 0; VID_ADDR_WIDTH : integer := 32; VI
  3. 所属分类:嵌入式

    • 发布日期:2020-07-07
    • 文件大小:401kb
    • 提供者:iyandy
  1. 80211-2016.pdf

  2. IEEE 802.11ac协议标准,wlan无线局域网协议标准2016版。官网资料-全。An application of back-propagation networks to handwritten zip code recognition is presented. Minimal preprocessing of the data is required, but the architecture of the network is highly constrained and spe
  3. 所属分类:嵌入式

    • 发布日期:2020-08-10
    • 文件大小:36mb
    • 提供者:TFATS
  1. ISO13400.zip

  2. Part 1:General information and use case definition Part 2:Transport protocol and network layer services Part 3:Wired vehicle interface based on IEEE 802.3 Part 4:Ethernet-based high-speed data link connector
  3. 所属分类:嵌入式

    • 发布日期:2021-02-04
    • 文件大小:3mb
    • 提供者:bo_00