您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. MFSK调制VHDL程序

  2. 基于VHDL硬件描述语言,完成对基带信号的MFSK调制
  3. 所属分类:其它

    • 发布日期:2009-06-23
    • 文件大小:92160
    • 提供者:perfectzhi
  1. mfsk调制系统的FPGA实现

  2. 数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了MFSK调制解调的原理,并基于FPGA实现了MFSK调制电路,仿真结果表明了该设计的正确性。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-21
    • 文件大小:45056
    • 提供者:vvcumt
  1. 基于FPGA的MFSK的调制VHDL设计

  2. 基于FPGA的MFSK的调制VHDL设计一些资料。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-25
    • 文件大小:118784
    • 提供者:freedom_503
  1. MFSK调制VHDL程序及仿真

  2. MFSK调制VHDL程序及仿真,有详细注释,时序仿真图
  3. 所属分类:嵌入式

    • 发布日期:2010-10-09
    • 文件大小:92160
    • 提供者:yzq110120
  1. 基于FPGA的MFSK调制VHDL程序及仿真

  2. 8.13 MFSK调制VHDL程序及仿真 --文件名:PL_MFSK --功能:基于VHDL硬件描述语言,完成对基带信号的MFSK调制 --说明:这里MFSK的M为4
  3. 所属分类:嵌入式

    • 发布日期:2010-12-02
    • 文件大小:92160
    • 提供者:TOMORROW_LIU
  1. mfsk调制解调matlab源程序

  2. 可以作为课程设计来参考!mfsk的调制解调之matlab源程序
  3. 所属分类:电信

    • 发布日期:2011-03-10
    • 文件大小:3072
    • 提供者:kangwangzi123
  1. 基于MatlAB的通行系统的设计实验之一的MFSK

  2. 这是我在做设计实验时的一个关于《基于matlab的数字通信系统的设计》的MFSK,的设计。是.m文件,有非相关和相关解调。
  3. 所属分类:专业指导

    • 发布日期:2011-05-25
    • 文件大小:3072
    • 提供者:shanyue520
  1. 用verilog 语言实现mfsk系统

  2. 这个是用verilog hdl语言编写的mfsk调制解调程序,在QuartusII上面已经仿真过的结果完全对的。
  3. 所属分类:硬件开发

    • 发布日期:2011-05-28
    • 文件大小:821
    • 提供者:wang497484635
  1. 基于FPGA的多进制数字频率调制(MFSK)系统

  2. 多进制数字频率调制也称多元调频或多频制。 M频制有M个不同的载波频率与M种数字信息对应,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。
  3. 所属分类:硬件开发

    • 发布日期:2012-07-07
    • 文件大小:169984
    • 提供者:lixianhui5532
  1. 正交编码加BPSK与MFSK性能仿真

  2. 本文对正交编码加 BPSK 与 MFSK 的误码率性能进行了分析,通过理论分析 和仿真实验得出结论: M 进制正交编码加 BPSK 调制的性能与无编码的 M 进制正 交 FSK 是完全一致的,都可以归结为M元正交波形传输系统。
  3. 所属分类:讲义

    • 发布日期:2015-03-24
    • 文件大小:514048
    • 提供者:lilyqiting
  1. 基于Simulink的MFSK系统的仿真

  2. 通信课程设计作业,有演示PPT、设计报告和程序。
  3. 所属分类:其它

    • 发布日期:2015-08-15
    • 文件大小:1048576
    • 提供者:u012299713
  1. mfsk波形设计

  2. mfsk经典波形设计理论,适用于多个行业,汽车雷达,工业,安防等领域
  3. 所属分类:专业指导

    • 发布日期:2018-08-29
    • 文件大小:898048
    • 提供者:sinat_28105221
  1. MFSK调制VHDL程序及仿真.rar

  2. MFSK调制的VHDL源代码 一种比较好的调制方式 VHDL , 调制
  3. 所属分类:专业指导

    • 发布日期:2019-09-02
    • 文件大小:63488
    • 提供者:drjiachen
  1. 一种多载波MFSK信道编码方案

  2. 目前随着硬件和编码技术的提升,逐渐成熟的多载波技术在通信过程中得到广泛的推广和应用。为了在较严重的多径衰落条件下获取更高的频谱利用效率,采用静态多抽头信道建模的方式对无线信号传播进行模拟。以信道冲击响应数据的误码率作为分析基础,设计了一种引进正交频分复用及多天线技术概念进行改良的多载波MFSK 编码方案,并验证了在多径衰落条件下的频谱使用效率及误码率,从而证明了该方案在提高频谱效率方面的可行性。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:106496
    • 提供者:weixin_38560107
  1. 通信与网络中的一种多载波MFSK信道编码方案

  2. 摘 要:目前随着硬件和编码技术的提升,逐渐成熟的多载波技术在通信过程中得到广泛的推广和应用。为了在较严重的多径衰落条件下获取更高的频谱利用效率,采用静态多抽头信道建模的方式对无线信号传播进行模拟。以信道冲击响应数据的误码率作为分析基础,设计了一种引进正交频分复用及多天线技术概念进行改良的多载波MFSK 编码方案,并验证了在多径衰落条件下的频谱使用效率及误码率,从而证明了该方案在提高频谱效率方面的可行性。   0 引言   在实际的移动无线信道中,信道的复合冲激响应是一个与时延有关的时间函数。
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:375808
    • 提供者:weixin_38664532
  1. EDA/PLD中的基于FPGA的MFSK调制电路设计与仿真

  2. 摘要:数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了MFSK调制解调的原理,并基于FPGA实现了MFSK调制电路,仿真结果表明了该设计的正确性。       关键词:MFSK;FPGA;调制;解调 数字信号传输系统分为基带传输系统和频带传输系统.频带传输系统也叫数字调制系统。数字调制信号又称为键控信号,数字调制过程中处理的是数字信号,而载波有振幅、频率和相位3个变量,且二进制的信号只有高低电平两个逻辑量1和0,所以调制
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:147456
    • 提供者:weixin_38656395
  1. 宽带扫频干扰下FH/MFSK系统性能分析

  2. 宽带扫频干扰下FH/MFSK系统性能分析
  3. 所属分类:其它

    • 发布日期:2021-03-17
    • 文件大小:758784
    • 提供者:weixin_38522029
  1. MFSK调制电路的FPGA设计与仿真

  2. 频移键控(FSK)是用不同频率的载波来传递数字信号,并用数字基带信号控制载波信号的频率。笔者提出了一种基于DDS(Digital Direct Synthesizer)技术的MFSK调制器的FPGA实现方案,并根据DSP 开发工具DSP Builder的优点,采用VHDL文本与Simulink 模型图相结合的方法进行了FPGA 设计与仿真。仿真结果验证了设计的正确性及可行性。
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:645120
    • 提供者:weixin_38740827
  1. 基于参量阵的MFSK水声通信技术研究

  2. 介绍了参量阵理论和MFSK水声通信原理及特点。在水声通信中,由于水声信道具有有限的信道带宽、随机时变-空变-频变和信道多途干扰的特性,这些因素都制约着水声通信技术发展。针对上述一些问题,提出了一种基于参量阵的MFSK水声通信方式,并给出了基于参量阵定向传输算法的调制方法,利用信道的非线性作用实现参量阵的自解调得到调制信号。仿真、实验结果表明:这种具有高度指向性的通信方式在水声通信中具有明显的抗干扰能力,能有效的抑制信道的多途效应。这种具有较高的水声通信质量的方式在水下通信中具有很高的应用价值。
  3. 所属分类:其它

    • 发布日期:2021-01-27
    • 文件大小:1048576
    • 提供者:weixin_38694355
  1. 基于FPGA的MFSK调制电路设计与仿真

  2. 摘要:数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了MFSK调制解调的原理,并基于FPGA实现了MFSK调制电路,仿真结果表明了该设计的正确性。       关键词:MFSK;FPGA;调制;解调 数字信号传输系统分为基带传输系统和频带传输系统.频带传输系统也叫数字调制系统。数字调制信号又称为键控信号,数字调制过程中处理的是数字信号,而载波有振幅、频率和相位3个变量,且二进制的信号只有高低电平两个逻辑量1和0,所以调制
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:93184
    • 提供者:weixin_38682054
« 12 3 »