您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Modelsim-Altera 使用技巧总结(三)

  2. 软件使用版本:Quartus II 13.0+Modelsim_Altera10.1d 工程使用例程:tcd1209+ad9945,verilog HDL设计 撰写人:Strive_JP 参考资料:《ModelSim高级使用进阶_1_do文件和批处理文件使用_Camp》
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:62464
    • 提供者:weixin_38725450