您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. NDI_Enc.zip

  2. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library NDI_Enc; use NDI_Enc.NDI_Pkg.all; component Enc_Core_E is generic ( CORE_ID : integer := 0; DEBUG : integer := 0; AVL_ADDR_WI
  3. 所属分类:嵌入式

    • 发布日期:2020-07-07
    • 文件大小:303kb
    • 提供者:iyandy