您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 文件切割机1.3

  2. 本软件纯属免费,没有功能限制,不限操作系统。 切割文件操作顺序: 1、选择切割面板的[添加]按钮,添加欲切割的文件,本版支持多次添加、多文件选择、多目录添加! 2、修改各文件的切割块数(2-9999)。有三种方法:鼠标双击块数单元格修改;单击[块数设置]对选择的文件进行修改(选择文件可以使用鼠标按下拖动或使用Ctrl、Shift等功能辅助键进行不定项选择);单击[统一块数设置],设置全部文件。 3、可以通过[删除]和[全部删除]按钮来对选择的文件进行删除操作,删除仅仅是删除文件列表,不会删除机
  3. 所属分类:C

    • 发布日期:2007-09-18
    • 文件大小:44kb
    • 提供者:zygege
  1. oracle超级帮助文件(中文版).chm

  2. [Q]怎样自定义异常 [A] pragma_exception_init 初学者相当不错的技术文档 (exception_name,error_number); 如果立即抛出异常 raise_application_error(error_number,error_msg,true|false); 其中number从-20000到-20999,错误信息最大2048B 异常变量 SQLCODE 错误代码 SQLERRM 错误信息
  3. 所属分类:其它

    • 发布日期:2009-07-28
    • 文件大小:102kb
    • 提供者:groovy_jin
  1. oracle10g超级帮助文件(中文版).chm

  2. 对于初学者相当不错的技术文档 [Q]怎么样查询特殊字符,如通配符%与_ [A]select * from table where name like 'A\_%' escape '\' [Q]如何插入单引号到数据库表中 [A]可以用ASCII码处理,其它特殊字符如&也一样,如 insert into t values('i'||chr(39)||'m'); -- chr(39)代表字符' 或者用两个单引号表示一个 or insert into t values('I''m'); -- 两个''
  3. 所属分类:Oracle

    • 发布日期:2009-07-28
    • 文件大小:94kb
    • 提供者:groovy_jin
  1. RBF MATLAB算法程序

  2. RBF MATLAB算法程序clear all; close all; X=[0:0.2:6];T=1.1*(1-X+2*X.*X).*exp(-0.5*X.*X); lr=0.05; [M,N]=size(X); err_goal=0.001; max_epoch=1000; Oj=0;Ok=0; q=10; u=rand(q,1);h=rand(q,1); w=rand(q,1); b1=ones(q,1);b2=ones(1,N); counts=1;E=zeros(1,max_epoc
  3. 所属分类:其它

    • 发布日期:2010-03-27
    • 文件大小:1kb
    • 提供者:applejoseph
  1. CHUNGHOP万能空调机遥控器使用说明书(Q-001)

  2. CHUNGHOP万能空调机遥控器使用说明书(Q-001)配置使用文件
  3. 所属分类:其它

    • 发布日期:2011-11-23
    • 文件大小:320kb
    • 提供者:superabc3885
  1. 线性规划算法

  2. 经典线性规划算法 while a<0.05 c=[-0.05,-0.27,-0.19,-0.185,-0.185]; A=[zeros(4,1),diag([0.025,0.015,0.055,0.026])]; b=a*ones(4,1); Aeq=[1,1.01,1.02,1.045,1.065]; beq=1; LB=zeros(5,1); [x,Q]=linprog(c,A,b,Aeq,beq,LB); Q=-Q; plot(a,Q,'*r'); a=a+0.001; end x
  3. 所属分类:其它

    • 发布日期:2012-11-01
    • 文件大小:188kb
    • 提供者:pazaiyunshang
  1. 微波炉控制器的FPGA实现

  2. 状态控制电路的VHDL实现如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY controllor IS PORT( RESET:IN STD_LOGIC; --复位信号 KEY: IN STD_LOGIC_VECTOR(3 DOWNTO 0); --输入时间 SET_T:IN STD_LOGIC; --时间设置信
  3. 所属分类:嵌入式

    • 发布日期:2012-12-27
    • 文件大小:876kb
    • 提供者:timberkg
  1. ACE Mega CoDecS Pack的編碼解碼集合

  2. ACE Meg CoDecS Pack 專業版包含幾乎所有的影音編碼/解碼工具、一些有用的工具程式,以及 QuickTime 及 RealMedia 的編碼/解碼工具(Codec)。 這個名為ACE Mega CoDecS Pack的編碼解碼集合包居然有44MB之巨,提供了史上最全的編碼解碼器。它很有可能是暴風影音的終結者! 安裝此軟體幾乎可不需再安裝其他的影音編碼/解碼工具軟體了,你有許多影音檔案無法撥放嗎?ACE Mega Codec 幫你解決所有影音編碼/解碼的問題。 注︰如果你不是很了
  3. 所属分类:互联网

    • 发布日期:2013-01-05
    • 文件大小:49mb
    • 提供者:yf00791
  1. 龙贝格方法

  2. 龙贝格发包方法求积分#include #include void main() { int n=1,k=0; double h,T2,S2=0,C2=0,R2=0,T1,C1,S1,R1,a=0,b,e=0.001; double f(double b); double P,rou,rou0=1.206,TAO; double P0=1E5,Rq=287,tao0=288.9; double A1=230,G1=6.328E-3,c1=1.172E-6; printf("please inpu
  3. 所属分类:C

  1. 数字秒表的设计

  2. 十进制计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count10 is port(clr,start,clk: in bit; cout: out bit; library ieee; daout: out std_logic_vector(3 downto 0)); end count10; architecture a of count10 is signal
  3. 所属分类:软件测试

    • 发布日期:2013-04-02
    • 文件大小:36kb
    • 提供者:u010135971
  1. occam一维反演

  2. C----------------------------------------------------------------------- program runocc C----------------------------------------------------------------------- c C OCCAM 2.0: Steven Constable IGPP/SIO La Jolla CA 92093-0225 c Program Revision 2.01,
  3. 所属分类:其它

    • 发布日期:2013-04-21
    • 文件大小:55kb
    • 提供者:u010380504
  1. 首发国美飞触二代刷机方法图文教程.doc

  2. 首发国美飞触二代刷机方法图文教程 【声明】刷新固件,是为了获得更好的使用体验,增强系统的稳定性,增加软件的功能。但是,也可能造成资料丢失,甚至当机变砖,本站不承担任何责任,特此说明! x; ^9 A1 {( e, Y; i0 g7 d' @; f7 P Warning : Upgrade the system will bring good experience, but may cause data loss, machine damage ! It require great consid
  3. 所属分类:Android

    • 发布日期:2013-05-20
    • 文件大小:31kb
    • 提供者:u010762720
  1. 酷Q机器人 3.1

  2. 酷Q机器人 3.1 欢迎使用WC-001机器人! 以下是支持命令: @问:XX 答:XX - 教WC-001说话吧~ 停用 或 启用 - WC-001影响你聊天了?立即停用我! #签到 - 日常签到
  3. 所属分类:其它

    • 发布日期:2013-08-04
    • 文件大小:901kb
    • 提供者:smibag
  1. verilog digital

  2. module digital_show( input wire [1:0]SW, input wire CLOCK_50, output wire [6:0]HEX0,HEX1,HEX2,HEX3 ); wire q; part2 a1(.clk(CLOCK_50),.max_tick(q),.enable(SW[0])); part1 a2(.clk(CLOCK_50),.reset(SW[1]),.en(q),.hex3(HEX3),.hex2(HEX2),.hex1(HEX1),.hex
  3. 所属分类:网络基础

    • 发布日期:2013-12-07
    • 文件大小:2kb
    • 提供者:u013076424
  1. chunghop万能遥控器Q-001说明书及代码表

  2. chunghop万能遥控器Q-001说明书及代码表
  3. 所属分类:制造

    • 发布日期:2014-04-02
    • 文件大小:447kb
    • 提供者:u014520450
  1. Ts-按键助手v1.0.001中文官方免费版

  2. Ts-按键助手的作用就是可帮助简化一下操作。 默认热键键值 ALT Q = 获取鼠标信息,启动热键. ALT W = 获取鼠标信息,停止热键. ALT A = 执行脚本热键.
  3. 所属分类:其它

  1. q块加速器3.4c免费版.rar

  2. 软件介绍: q块加速器使用方法:先运行游戏后,再打开本加速器。键盘速度,按住一个键一分钟能打出的字符个数,建议最低不要低于3000/分。超级快键盘就是理论上平移速度无穷大的键盘。键盘延迟设置:即打出第1、2字符的时间间隔(1毫秒=0.001秒)。这个值设置过大会影响速度,过小会难以控制稳定,请合理设置。按块统计:就是下面的曲线图每放5块统计一次速度,否则就是每5秒统计一次速度。加快响应:即为极速响应模式,不钩上时为超流畅加速模式(只能用在Q块),但以加大响应时间为代价,在游戏时可以使用F1
  3. 所属分类:其它

    • 发布日期:2019-09-02
    • 文件大小:301kb
    • 提供者:weixin_38743506
  1. 三菱Q系列PLC与巴鲁夫RFID通讯(RS232,中间经过485转接).doc

  2. 三菱Q系列PLC与巴鲁夫RFID的串口通讯,硬件:Q03UDE,串口模块,巴鲁夫RFID:BIS M-400-700-001-S115
  3. 所属分类:制造

    • 发布日期:2020-06-24
    • 文件大小:734kb
    • 提供者:a958918976
  1. 使用高Q光学微谐振器测量单个介电纳米粒子的电荷

  2. 在许多领域,包括光学,天文学,生物化学,大气科学,环境工程和尘土等离子体,测量纳米粒子的电荷非常重要。 在此,我们建议使用高Q耳语画廊模式(WGM)光学微谐振器来检测介电纳米粒子的表面电荷和体电荷。 由于剩余电子引起的纳米粒子电导率的改变,与中性纳米粒子的情况相比,纳米粒子与WGM之间的耦合强度和耗散都发生了变化。 电荷密度可以从WGM微谐振器的透射光谱中得出。 通过监视模式分裂,线宽加宽或透射光谱的共振倾角值,可以检测到具有负(正)电子亲和力的纳米粒子的表面(体)电子密度低至0.007 nm-
  3. 所属分类:其它

    • 发布日期:2021-02-25
    • 文件大小:920kb
    • 提供者:weixin_38530415
  1. 小时间抖动、高重复频率Nd∶YAG/Cr4+∶YAG被动调Q微型激光器

  2. 报道了一种小时间抖动、高重复频率Nd∶YAG/Cr4+∶YAG被动调Q微型激光器。该激光器以808 nm单管激光二极管作为抽运源,基于切割方向为[001]的Cr4+∶YAG晶体在一定入射光功率密度下的极化取向特性,采用偏振抽运的方式,使抽运光偏振方向与晶轴方向平行,将Nd∶YAG晶体吸收后剩余的抽运光全部用于漂白Cr4+∶YAG晶体该晶轴方向的电偶极子。结果表明,采用偏振抽运的方式,通过优化切割方向为[001]的Cr4+∶YAG晶体取向,可以有效减小Nd∶YAG/Cr4+∶YAG被动调Q微型激光
  3. 所属分类:其它

« 12 3 4 5 »