您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. altra编程软件使用方法

  2. 该文档主要介绍了QUARTUSii软件的使用方法,适合于初学者使用。
  3. 所属分类:专业指导

    • 发布日期:2009-06-10
    • 文件大小:844800
    • 提供者:lixiaohui139
  1. FPGA / VHDL中文教程

  2. 包括: QuartusII中文教程和详细教程(pdf) VHDL程序源码与仿真 FPGA
  3. 所属分类:硬件开发

    • 发布日期:2009-06-29
    • 文件大小:7340032
    • 提供者:liuyang19870715
  1. modelSim学习资料

  2. 关于modelSim仿真与QuartusII的学习资料
  3. 所属分类:嵌入式

    • 发布日期:2009-07-30
    • 文件大小:3145728
    • 提供者:fum_0
  1. 硬件语言仿真相关工具资料

  2. FPGA设计及QUARTUS_II教程 QuartusII(基于CPLD)教程 quartusII实用例子 Quartus教程 QuatusII(基于VHDL)教程 使用QuartusII开发FPGA(English) 《EDA技术及其应用》
  3. 所属分类:硬件开发

    • 发布日期:2009-10-25
    • 文件大小:11534336
    • 提供者:tbyll
  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. 数字密码锁PasswordLock

  2. 这是我们通信电子实习时做的一个东西,可以下载到DE2的板子上实现相应的功能。 基于QuartusII 的数字密码锁,功能齐全,功能有 1、设计一个电子密码锁,在锁开的状态下输入密码,密码4-8位,低于4位提示用户。 2、用数据数字1、2、…、9、0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上 3、可删除输入的数字,删除的是最后输入的数字。 4、密码设定,给予一定时间限制,超过时间修改自动放弃。 5、实现密码设定的校验,输入两次密码,判断是否相同。 6、为保证密码锁主人能打开密
  3. 所属分类:其它

    • 发布日期:2010-08-02
    • 文件大小:1048576
    • 提供者:tracy1990lg
  1. 2-4译码器设计报告

  2. 2-4译码器设计报告 利用QuartusII软件功能进行仿真分析,含实验目的,内容,步骤,等!
  3. 所属分类:嵌入式

    • 发布日期:2010-09-05
    • 文件大小:345088
    • 提供者:huhehaote315
  1. QII9.0Crack

  2. QuartusII软件的破解,有效期很长,大家放心使用。希望有用。
  3. 所属分类:其它

    • 发布日期:2010-09-10
    • 文件大小:352256
    • 提供者:clwyl
  1. FPGA实现DDS(包括Qii工程和设计论文)

  2. 此为在学校创新团队学习FPGA后提交的课程设计,难度和质量甚至超过毕业设计,可直接供毕业设计使用或者参考。文件包含quartusii工程,各模块硬件描述语言,和仿真文件,以及设计论文。
  3. 所属分类:硬件开发

    • 发布日期:2010-11-23
    • 文件大小:4194304
    • 提供者:coolouba
  1. Crack_QII_10.1_Windows

  2. quartusII 10.1破解器,用于破解quartusII 10.1生成license文件
  3. 所属分类:电信

    • 发布日期:2011-09-07
    • 文件大小:27648
    • 提供者:yeir1988
  1. 音乐播放器的VHDL实现

  2. quartusII下VHDL语言音乐播放器的硬件编程实现,可选择3首音乐中的一首播放,可暂停,是EDA实验的必备资料。
  3. 所属分类:硬件开发

    • 发布日期:2011-11-20
    • 文件大小:551936
    • 提供者:yuyuqifei
  1. 如何建立一个新工程.part1.rar

  2. FPGA正在成为越来越热门的技术,QuartusII是ALTERA公司推出的专业FPGA开发工具。本视频主要介绍如何利用QuartusII软件建立新工程。
  3. 所属分类:硬件开发

    • 发布日期:2011-11-22
    • 文件大小:48234496
    • 提供者:luomeigang
  1. Altera crack

  2. 破解altera的QuartusII,包含各个版本
  3. 所属分类:专业指导

    • 发布日期:2012-02-17
    • 文件大小:345088
    • 提供者:andretianjun
  1. Timequest就一定要搞定完整版

  2. 详细的介绍了时序分析时的时序问题,是对QuartusII手册中时序部分的中文解析资料!
  3. 所属分类:嵌入式

    • 发布日期:2012-04-17
    • 文件大小:2097152
    • 提供者:l941024324
  1. fpga入门指导

  2. 文档中主要针对FPGA入门的学者,文中手把手地教初学者在quartusII软件上进行实例演习(以截图方式给出),方便初学者掌握quartusII和学习常用的verilog实例。
  3. 所属分类:硬件开发

    • 发布日期:2012-04-22
    • 文件大小:7340032
    • 提供者:herihua2007
  1. EDA实验报告

  2. 本资源为基于QuartusII的用VHDL语言编写的EDA实验程序及报告,主要包括全加器,全减器,模可变计数器,序列发生器等是设计!
  3. 所属分类:嵌入式

    • 发布日期:2012-05-20
    • 文件大小:207872
    • 提供者:lin179590026fly
  1. QuatrusII中文手册

  2. QuartusII 官方手册 中文版 适合需要详细学习QuartusII 的朋友
  3. 所属分类:硬件开发

    • 发布日期:2013-05-09
    • 文件大小:3145728
    • 提供者:u010634947
  1. 出租车计价器

  2. 出租车计价器quartusII的程序编写 课程设计的童鞋你懂得
  3. 所属分类:C/C++

    • 发布日期:2013-06-10
    • 文件大小:1048576
    • 提供者:u011021074
  1. VHDL序列检测器(源程序,原理图,波形图)

  2. VHDL数字系统设计与测试课程的计数显示电路,附源代码、原理图、波形图,有状态转移图,最后附波形仿真,采用quartusII进行仿真。
  3. 所属分类:硬件开发

    • 发布日期:2013-06-11
    • 文件大小:100352
    • 提供者:misgod123
  1. MSK调制与解调

  2. MSK 调制 解调 quartusII 工程 simulink
  3. 所属分类:硬件开发

    • 发布日期:2013-09-06
    • 文件大小:1048576
    • 提供者:u012010784
« 12 3 4 5 6 7 8 9 10 ... 21 »