您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Two Port RAM实验例程

  2. Two Port RAM实验例程 (异步电路)
  3. 所属分类:专业指导

    • 发布日期:2009-05-16
    • 文件大小:220160
    • 提供者:pengwangguo
  1. DSP(2812)内部18KRam和外扩RAM应用的技术总结

  2. 本资源结合自己的项目实践,从硬件和软件的角度详细的总结了DSP(2812)外扩Ram技术,及如果不外扩RAM,如何将不同的RAM区借助某种技巧连成一个整体,程序太大有了最佳的解决方案,充分的利用了DSP的内部资源,值得参考!!
  3. 所属分类:硬件开发

    • 发布日期:2009-05-27
    • 文件大小:552960
    • 提供者:liuwenye_1
  1. RAM测试方法

  2. RAM测试应该包含3个方面:单元测试,数据线测试,地址线测试. 对于控制线,由于在对前两者的测试中已经附带完成,因此不...如果系统的RAM是由多片RAM扩展而来(如用2片8为RAM组成一 个16位RAM系统),就涉及到故障定位问题,即给出是哪一片...
  3. 所属分类:其它

    • 发布日期:2007-08-29
    • 文件大小:71680
    • 提供者:andygoo618
  1. 基于FPGA的双口RAM在信号采集中的应用

  2. 基于FPGA的双口RAM在信号采集中的应用.pdf
  3. 所属分类:硬件开发

    • 发布日期:2009-06-04
    • 文件大小:433152
    • 提供者:fazls
  1. RAM Stress Test(RST)内存测试软件说明

  2. Ram Stress Test是美国Ultra-X公司旗下的一个专业记忆体测试程式,是专门给系统生产厂商出机前用的测试程式,他其实是从其他的产品独立出来的一项测试,该公司专作系统测试的软硬体,方便生产厂商将产品做详细测试,至于R.S.T.在目前记忆体生产业使用非常普遍,因为经过他的测试几乎就能应付大部分的记忆体问题,所以是非常好用的一个测试工具!!
  3. 所属分类:专业指导

    • 发布日期:2009-07-14
    • 文件大小:519168
    • 提供者:lsq_39
  1. FPGA 对双口RAM存储器的读写控制

  2. FPGA 对双口RAM存储器的读写控制FPGA 对双口RAM存储器的读写控制FPGA 对双口RAM存储器的读写控制FPGA 对双口RAM存储器的读写控制
  3. 所属分类:硬件开发

    • 发布日期:2009-08-05
    • 文件大小:1048576
    • 提供者:jiajia6254
  1. protel DXP 2004画的单片机AD RAM DA数据采集系统

  2. 用protel DXP 2004画的单片机AD RAM DA数据采集系统 ,超经典。。。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-19
    • 文件大小:384000
    • 提供者:lvjingsok
  1. protel 99 SE画的单片机AD RAM DA数据采集系统

  2. 用protel 99 SE画的单片机AD RAM DA数据采集系统 ,超经典。。。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-19
    • 文件大小:25600
    • 提供者:lvjingsok
  1. 单片机STC内部扩展RAM的应用

  2.  RAM是用来在程序运行中存放随机变量的数据空间,51单片机默认的内部RAM只有128字节,52单片机增加至256字节,STC89C52增加到512字节,STC89C54、55、58、516等增加到1280字节,对于编程者来说,一个芯片的RAM越多,写起程序来就越容易得心应手,不会总考虑RAM不够用而担心这担心那,连过多的变量都不敢定义........
  3. 所属分类:硬件开发

    • 发布日期:2009-08-25
    • 文件大小:44032
    • 提供者:bigggao
  1. 双口RAm资料大全很好的下载资源

  2. 双口RAm的很全的资料包括pdf和源程序很好使的 双口RAm的很全的资料包括pdf和源程序很好使的双口RAm的很全的资料包括pdf和源程序很好使的
  3. 所属分类:专业指导

    • 发布日期:2009-08-27
    • 文件大小:1048576
    • 提供者:lgxmmm
  1. 读写FPGA定制的RAM中的数据

  2. 此程序时先在FPGA中定制一个RAM,然后单片机控制时序,先将数据写进去,然后读出来,验证数据是否是对的。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-19
    • 文件大小:408576
    • 提供者:liuhai_wukeyuan
  1. 用WIGGLER在IAR调试FLASH及RAM例程说明文档.pdf

  2. 用WIGGLER在IAR调试FLASH及RAM例程说明文档.pdf
  3. 所属分类:Flash

    • 发布日期:2007-10-27
    • 文件大小:204800
    • 提供者:free_down_bar
  1. 在RAM中运行的示例程序说明文档.pdf

  2. 在RAM中运行的示例程序说明文档.pdf
  3. 所属分类:Java

    • 发布日期:2007-10-27
    • 文件大小:288768
    • 提供者:free_down_bar
  1. DS1609双端口RAM及其应用

  2. 介绍了DS1609 双端口RAM 的性能特点, 以时序分析的方法, 提出了DS1609 双端口 RAM 与51 系列单片机接口的方案L 在多CPU 微处理系统中应用DS1609 双端口RAM , 由于 其二端口异步操作的特点, 不仅简化了系统程序工作要求, 而且提高了系统运行的可靠性
  3. 所属分类:硬件开发

    • 发布日期:2009-10-09
    • 文件大小:306176
    • 提供者:lknhh
  1. RAM汇编语言编程 RAM汇编语言编程

  2. RAM汇编语言编程,RAM汇编语言编程,RAM汇编语言编程,RAM汇编语言编程,RAM汇编语言编程
  3. 所属分类:硬件开发

    • 发布日期:2009-10-18
    • 文件大小:427008
    • 提供者:hzm0901
  1. 双口RAM verilog源程序

  2. ACTEL公司的fpga,双口RAM实现的源代码,完整工程,已测。
  3. 所属分类:硬件开发

    • 发布日期:2009-11-22
    • 文件大小:1048576
    • 提供者:kexd2008
  1. stc内部RAM使用测试C语言官方

  2. //读片外扩展RAM,内部R/C时钟及ID号C语言参考程序 /* --- STC International Limited ---------------------------------------------- */ /* --- 宏晶科技 姚永平 2009/3/19 V1.0 -------------------------------- */ /* --- STC11Fxx/10Fxx 系列单片机,读片外扩展RAM,软件实现自定义下载程序--------- */ /* --- M
  3. 所属分类:C

    • 发布日期:2009-12-15
    • 文件大小:4096
    • 提供者:jiehi007
  1. RealView MDK 下ARM 程序在RAM 中调试的方法

  2. RealView MDK 下ARM 程序在RAM 中调试的方法
  3. 所属分类:硬件开发

    • 发布日期:2009-12-18
    • 文件大小:233472
    • 提供者:xiaowei275
  1. 单片机ROM RAM扩展

  2. 单片机的rom ram扩展,电路连接图,以及74LS138的应用,片选!直观容易理解
  3. 所属分类:硬件开发

    • 发布日期:2009-12-18
    • 文件大小:43008
    • 提供者:yumingfu
  1. 基于vhdl语言设计的ram

  2. 本程序是采用vhdl语言进行编写的程序,描述了RAM的实现过程。
  3. 所属分类:专业指导

    • 发布日期:2010-01-05
    • 文件大小:168960
    • 提供者:happyprincess
« 12 3 4 5 6 7 8 9 10 ... 50 »