您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. [LDPC码基础与应用].贺鹤云.pdf

  2. 本书从理论到实践由浅入深地介绍了LDPC码的编译原理及其在不同信道下的应用。全书共分8章,包括BP算法、密度进化、LDPC卷积码和广义LDPC码等内容。 第1章 通信和信息论初步   1.1 数字通信系统    1.1.1 通信和通信系统    1.1.2 信道编码   1.2 消息、信号与信息    1.2.1 消息、信号与信息的定义    1.2.2 信息的度量   1.3 有扰离散信道的容量    1.3.1 有扰离散信道的描述    1.3.2 有扰离散信道的信息传输速率和信道容量 
  3. 所属分类:讲义

    • 发布日期:2016-04-20
    • 文件大小:36700160
    • 提供者:cyoki1991
  1. turbo 编译码 verilog

  2. verilog 写的turbo码编码和解码模块,编码解码写在一起,可在各种平台上验证。verilog 写的turbo码编码和解码模块,编码解码写在一起,可在各种平台上验证。
  3. 所属分类:其它

    • 发布日期:2017-09-21
    • 文件大小:4096
    • 提供者:pacinoyu
  1. Turbo码译码器C代码

  2. turbo码译码器,用C++实现的,代码完整,性能优异,可以直接用
  3. 所属分类:C++

    • 发布日期:2019-04-03
    • 文件大小:1048576
    • 提供者:fancykid
  1. Turbo码matlab程序,对学习、课程设计以及毕业设计有比较大的帮助

  2. Turbo码matlab程序,对学习、课程设计以及毕业设计有比较大的帮助
  3. 所属分类:其它

    • 发布日期:2019-04-09
    • 文件大小:38912
    • 提供者:xmlywz006
  1. Turbo码matlab程序BCJR、SOVA都有

  2. Turbo码matlab程序BCJR、SOVA都有 Turbo码matlab程序BCJR、SOVA都有
  3. 所属分类:其它

    • 发布日期:2019-04-09
    • 文件大小:37888
    • 提供者:xmlywz006
  1. Turbo码matlab仿真_3GPP标准

  2. Turbo码matlab仿真_3GPP标准
  3. 所属分类:其它

    • 发布日期:2019-04-09
    • 文件大小:986112
    • 提供者:xmlywz006
  1. Turbo码的编解码,可以达到论文中仿真图里的良好性能

  2. Turbo码的编解码,可以达到论文中仿真图里的良好性能
  3. 所属分类:其它

    • 发布日期:2019-04-09
    • 文件大小:267264
    • 提供者:xmlywz006
  1. LTE标准下Turbo码编译码器的集成设计 may2010_2.pdf

  2. LTE标准下Turbo码编译码器的集成设计 may2010_2
  3. 所属分类:其它

    • 发布日期:2019-05-19
    • 文件大小:1033216
    • 提供者:drjiachen
  1. 研究论文-三维Turbo码性能的研究

  2. 研究论文-三维Turbo码性能的研究
  3. 所属分类:其它

    • 发布日期:2019-08-07
    • 文件大小:129024
    • 提供者:weixin_39840515
  1. 研究论文-基于Turbo码的差分级联译码算法

  2. 研究论文-基于Turbo码的差分级联译码算法
  3. 所属分类:其它

    • 发布日期:2019-08-07
    • 文件大小:129024
    • 提供者:weixin_39841882
  1. turbo码的matlab程序-encode_bit.m

  2. turbo码的matlab程序-encode_bit.m 现在在看吴宇飞的matlab程序,编码器的部分已经看的差不多了,其中有几个问题,在这里请教各位大虾: 1.output=Turbo_g*input这句话表示什么意思? 2.output(i)=xor,Turbo_g*state);又表示什么意思? 3.d_k=rem(Turbo_g*state,2)表示尾比特处理,是如何处理的呢?也就是Turbo_g*state表示什么意思? 4.a_k=rem(Turbo_g*[d_k state
  3. 所属分类:其它

  1. turbo码的matlab程序-rsc_encode.m

  2. turbo码的matlab程序-rsc_encode.m 现在在看吴宇飞的matlab程序,编码器的部分已经看的差不多了,其中有几个问题,在这里请教各位大虾: 1.output=Turbo_g*input这句话表示什么意思? 2.output(i)=xor,Turbo_g*state);又表示什么意思? 3.d_k=rem(Turbo_g*state,2)表示尾比特处理,是如何处理的呢?也就是Turbo_g*state表示什么意思? 4.a_k=rem(Turbo_g*[d_k state
  3. 所属分类:其它

    • 发布日期:2019-08-13
    • 文件大小:1024
    • 提供者:weixin_39840387
  1. 一种Turbo码的编码算法-一种Turbo码的编码算法在CDMA2000系统中的仿真实现.rar

  2. 一种Turbo码的编码算法-一种Turbo码的编码算法在CDMA2000系统中的仿真实现.rar 附件中是一种一种Turbo码的编码算法,欢迎参考哦
  3. 所属分类:其它

    • 发布日期:2019-08-13
    • 文件大小:466944
    • 提供者:weixin_39841856
  1. Turbo码在矿井移动通信系统中的应用研究.pdf

  2. 在阐述了矿井移动通信系统组成结构的基础上,构建了基于MATLAB的矿井移动通信环境Turbo码仿 真平台。研究了编码长度、迭代次数、码率、帧长、移动台的速度对矿井移动通信环境Turbo码性能的影响, 并进行了仿真。仿真结果不仅验证了Turbo码具有的优异性能,还给出适合于矿井移动通信系统的各种参 数选择。
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:369664
    • 提供者:weixin_38744207
  1. 低信噪比条件下Turbo编译码算法研究及性能评估

  2. 低信噪比条件下Turbo编译码算法研究及性能评估,肖扬,黄和斌,由于Turbo码优异的纠错性能,CDMA2000与WCDMA将其作为候选的信道编码方案。本文研究了Turbo码的基本原理和编解码算法,在此基础上对Turbo�
  3. 所属分类:其它

    • 发布日期:2020-02-01
    • 文件大小:523264
    • 提供者:weixin_38725623
  1. polar码基本原理v1.docx

  2. 为了实现可靠的信号传输,编码学家在过去的半个多世纪提出多种纠错码技术如里所码(RS码)、卷积码,Turbo码等,并在各种通信系统中取得了广泛的应用。但是以往所有实用的编码方法都未能到香农于1948年所给出的信道传输的容量极限(也称为香农界)。2008年在国际信息论ISIT会议上,Arikan首次提出了信道极化的概念,基于该理论,他给出了人类已知的第一种能够被严格证明达到信道容量的信道编码方法,并命名为极化码(Polar Code)。Polar码具有明确而简单的编码及译码算法。通过信道编码学者的不
  3. 所属分类:讲义

    • 发布日期:2020-07-06
    • 文件大小:1048576
    • 提供者:qq_45426564
  1. 基于二分图构造LDPC码的校验矩阵算法及性能分析

  2. 信道编译码技术可以检测并且纠正信号在传输过程中引入的错误,能够保证数据进行可靠的传输[1]. LDPC码的校验矩阵具有稀疏的特性,因此存在高效的译码算法,其纠错能力非常强。1981年,Tanner提出了基于图模型描述码字的概念,将LDPC码的校验矩阵对应到Tanner图的双向二部图上。采用Tanner图构造的LDPC码,通过并行译码可大大降低译码复杂度。Mack-ay 和Neal利用随机构造的Tanner 图研究了LDPC 码的性能,发现采用和积算法(SPA)的LDPC 码具有优异的译码性能,
  3. 所属分类:其它

    • 发布日期:2020-07-12
    • 文件大小:156672
    • 提供者:weixin_38577200
  1. 基于数字电视传输系统Turbo编码器芯片设计

  2. 本文介绍了数字电视传输系统中信道编码的应用及发展的新方向,并在此基础上我们设计了可以应用于数字电视传输的Turbo码编码器芯片,并通过EDA前后端设计工具,完成了整个ASIC级的设计。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:80896
    • 提供者:weixin_38747566
  1. 短帧Turbo译码器的FPGA实现

  2. Turbo码虽然具有优异的译码性能,但是由于其译码复杂度高,译码延时大等问题,严重制约了Turbo码在高速通信系统中的应用。因此,如何设计一个简单有效的译码器是目前Turbo码实用化研究的重点。本文主要介绍了短帧Turbo译码器的FPGA实现,并对相关参数和译码结构进行了描述。1几种译码算法比较Turbo码常见的几种译码算法中,MAP算法[1][3]具有最优的译码性能。但因其运算过程中有较多的乘法和指数运算,硬件实现很困难。简化的MAP译码算法是LOG-MAP算法和MAX-LOG-MAP算法,它
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:265216
    • 提供者:weixin_38616330
  1. TD-LTE系统中Turbo译码算法及DSP实现

  2. 在TD-LTE系统中,Turbo码以其优异的纠错性能而备受关注。针对传统Log-Map算法译码复杂度大且时延长的缺点,提出一种简化的Log-Map算法。在高斯白噪声信道(AWGN)环境下,对各种Turbo译码算法性能进行了仿真比较,并对提出的简化译码算法在TMS320C64xDSP中进行实现。译码程序在CCS3.3的运行结果表明了该方案的实时性和有效性。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:459776
    • 提供者:weixin_38652058
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 26 »