您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. c51 串行通信 初学者可以参考一下

  2. 51与PC通信 1 #include "at89x51.h" 2 #include "must.h" 3 sfr port_display = 0xa0;// 4 Uchar8 incept_data[10]; // 接收缓冲区 5 Uchar8 incept_index = 0; // 接收计数 6 Uchar8 send_data[10]={0,1,2,3,4,5,6,7,8,9}; // 发送缓冲区 7 Uchar8 send_index = 0; // 发送计数 8 Uchar8 ta
  3. 所属分类:C

    • 发布日期:2009-05-30
    • 文件大小:163840
    • 提供者:lmy_123
  1. LINGO软件的学习

  2. LINGO是用来求解线性和非线性优化问题的简易工具。LINGO内置了一种建立最优化模型的语言,可以简便地表达大规模问题,利用LINGO高效的求解器可快速求解并分析结果。 §1 LINGO快速入门 当你在windows下开始运行LINGO系统时,会得到类似下面的一个窗口: 外层是主框架窗口,包含了所有菜单命令和工具条,其它所有的窗口将被包含在主窗口之下。在主窗口内的标题为LINGO Model – LINGO1的窗口是LINGO的默认模型窗口,建立的模型都都要 在该窗口内编码实现。下面举两个例子
  3. 所属分类:C

    • 发布日期:2009-08-08
    • 文件大小:319488
    • 提供者:huxlaylyx
  1. 3gpp ts 34.108 v8.6.0

  2. wcdma 的一些基本测试流程以及网络配置 The definition of the Conformance Tests for UE in 3G will be a complex task as the complete test suite covers RF, EMC and Protocol aspects of the UE. Each test requires a Test Environment to be defined in which the UE has to op
  3. 所属分类:3G/移动开发

    • 发布日期:2009-09-10
    • 文件大小:7340032
    • 提供者:ibbybb
  1. IBM Rational DOORS 9.2 安装配置说明书(中文)

  2. iii 目目录 iv Rational DOORS 安装指南 对集成的影响 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 将数据迁移至 Rational DOORS 9.2 . . . . . . . . . . . . . . . . . . . . . . .
  3. 所属分类:Access

    • 发布日期:2010-08-12
    • 文件大小:867328
    • 提供者:ghh_790101
  1. (共四个部分)三菱PlcV8.34Part1

  2. 三菱plc编程软件,V8.34版。(共四个部分)
  3. 所属分类:专业指导

    • 发布日期:2008-03-21
    • 文件大小:9437184
    • 提供者:wingwf2000New
  1. (共四个部分)三菱PlcV8.34part2

  2. 三菱plc的编程软件V8.34版。(共四个部分)
  3. 所属分类:专业指导

    • 发布日期:2008-03-21
    • 文件大小:9437184
    • 提供者:wingwf2000New
  1. (共四个部分)三菱PlcV8.34part3

  2. 三菱plc的编程软件V8.34(共四个部分)
  3. 所属分类:专业指导

    • 发布日期:2008-03-21
    • 文件大小:9437184
    • 提供者:wingwf2000New
  1. (共四个部分)三菱PlcV8.34part4

  2. 三菱plc的编程软件v8.34版。共四个部分。
  3. 所属分类:专业指导

    • 发布日期:2008-03-21
    • 文件大小:7340032
    • 提供者:wingwf2000New
  1. 链接器和加载器

  2. 第0 章 引子......................................................................................................................................10 献给.......................................................................................................
  3. 所属分类:其它

    • 发布日期:2012-10-14
    • 文件大小:5242880
    • 提供者:xxxcneebbk
  1. JLinkV4.34驱动

  2. JLINK V8 驱动程序,版本V4.34
  3. 所属分类:硬件开发

    • 发布日期:2012-10-31
    • 文件大小:9437184
    • 提供者:yangyq2000
  1. JLINK_V8_V4.34

  2. J-LINK仿真器v8版的驱动,较新的V4.34版本
  3. 所属分类:硬件开发

    • 发布日期:2012-12-10
    • 文件大小:9437184
    • 提供者:liwentao0532
  1. ICS V8.34 XE8, 10 Seattle and 10.1 berlin

  2. ICS is available as source code only. You need Delphi to build the sample programs and create your own application. You will also need OpenSSL libraries if using SSL-enabled components. ICS versions available: ICS-V8 for Delphi 7 / C++ Builder 2006
  3. 所属分类:Delphi

    • 发布日期:2016-10-05
    • 文件大小:8388608
    • 提供者:tebox
  1. 链接器和加载器 beta2

  2. 链接器和加载器 beta2 贴出其他一小章节目录: 第2章 体系结构的问题...................................................................................................................27 应用程序二进制接口.....................................................................................
  3. 所属分类:硬件开发

    • 发布日期:2008-12-02
    • 文件大小:5242880
    • 提供者:alexljm
  1. muParser_v1.34

  2. 许多应用程序要求解析数学表达式。这个库的主要目的是提供一种快速和简单的方法来完成它。muParser是一个可扩展、高性能的数学表达式解析器库,它使用C++编写。它的工作原理是把数学表达式转换为字节码和表达式的预计算常数。 这个库开始就设计成可移植的,它可以在任意一个标准的C++编译器下进行编译。它也存在C和C#的封装。解析器压缩包包括各种平台下使用的项目和Makefile文件。代码可以运行与32位和64位架构的平台上,并且已经使用MS VC++ V8.0和GCC V4.4.1进行测试。提供代码
  3. 所属分类:C++

    • 发布日期:2018-07-14
    • 文件大小:125952
    • 提供者:s1491519235
  1. 阿赛企业建站系统 AsaiCoE v8 ASP.rar

  2. 01、新闻资讯:可以发布站点新闻、企业新闻、行业新闻、企业公告、员工文章报告等文字图文信息,支持二级分类;02、产品中心:用来发布企业自研、自产、自制的产品信息,支持图文、文字、图片三种列表模式自由切换,搭配订单系统,完美呈现企业产品库;03、商品交易:批发、进货过来的商品专用交易频道,可以作为另一个产品中心,含订单系统;04、订单系统:接受客户浏览产品、商品发出的订单,支持订单自主处理、标识查询、订单号查询等,非常方便;05、技术文章:发布产品技术参数、图文教程、视频教程、行业文章、行业论文
  3. 所属分类:其它

    • 发布日期:2019-07-09
    • 文件大小:1048576
    • 提供者:weixin_39840924
  1. 富士最新V8系列POD之参考手册.pdf

  2. 富士最新V8系列POD之参考手册pdf,富士最新V8系列POD之参考手册序言 这次,承蒙购买 MONITOUCH V系列绘图编辑用软件「VSFT」,非常谢谢 为了正确使用 MONITOUCH,请仔细阅读包含本书的手册,使用说明书,充分地理解其內容。 注意 1. Hakko electronics co.,Ltd.拥有本软件的版权。 2.禁止以任何形式全部或部分使用、复制、转载和改变本软件和本手册的內容。 3.本软件的技术规格和内容如有更改,恕不事先通知 4.本软件的技术规格,如有与本手册的内容不
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:26214400
    • 提供者:weixin_38744270
  1. 鼎甲迪备安装配置手册 V8.0

  2. 鼎甲迪备安装配置手册 V8.0 鼎甲迪备,简称:迪备,是一款用于管理企业操作系统、数据库、文件、虚拟机备份、 恢复、容灾和数据高可用的软件。迪备通过Web界面为用户提供一个存储备份管理平台来统 一监控和管理企业操作系统以及异构环境下数据库、文件以及虚拟化平台下虚拟机的备份、 恢复和数据高可用。它不仅能对企业内部操作系统、数据库、文件、虚拟机进行备份和灾难 恢复,还能对大型企业或者政府单位分布在各地的备份系统实行分布式多级统一管理和监 控,同时迪备的异地容灾和数据同步、服务接管功能为企业提供了高效
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:1048576
    • 提供者:watcher486
  1. 海康IP SAN/NAS 存储服务器产品 操作手册 V8.6.0 系列

  2.  存储管理中心详解  Windows 系统建立对 Stor OS 的 的 iSCSI 连接  Linux 系统建立对 Stor OS 的 的 iSCSI 连接  建立对 Stor OS 的共享空间的连接  系统异常处理  DVR 使用存储说明  NVR 系统  备注海康减视 杭州海康威视数字技术股份有限公司 266 ISCSI用户管理实例… 41 2.6.7 ISCSI分配说明 44 2.7文件服务管理…… 45 2.7.1概 45 2.72NAS卷管理 …
  3. 所属分类:其它

    • 发布日期:2019-04-06
    • 文件大小:6291456
    • 提供者:wacba
  1. Lenovo联想Ideapad G480声卡驱动程序 v8.54.44.0 官方最新版

  2. 联想G480声卡驱动程序,官方最新版适配驱动,为解决笔记本声音问题,可以重装此款驱动。参数声卡芯片:ConexantSmartAudioHDWINXP驱动版本:06/29/2012,8.54.34.51WIN7驱动版本:07/11/2012,8.54.44.0WIN8驱动版本:09/20/2012,8.54.48.0硬件,欢迎下载体验
  3. 所属分类:其它

    • 发布日期:2020-07-03
    • 文件大小:108003328
    • 提供者:weixin_38728464
  1. Apache Tomcat v8.5.34

  2. 最流行的HTTP服务器软件之一,快速、可靠、可通过简单的API扩展,Perl/Python解释器可被编译到服务器中,完全,完全源代码开放,如果你需要创建一个每天有数百万人访问的Web服务
  3. 所属分类:其它

    • 发布日期:2020-10-09
    • 文件大小:9437184
    • 提供者:weixin_38605967
« 12 »