您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. av board开发板 VGA彩条信号输出程序

  2. av board开发板 VGA彩条信号输出程序 quartus
  3. 所属分类:专业指导

    • 发布日期:2010-07-19
    • 文件大小:372736
    • 提供者:wangxinda1006
  1. EDA—EDA技术实用教程

  2. 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
  3. 所属分类:硬件开发

    • 发布日期:2010-11-19
    • 文件大小:8388608
    • 提供者:sundyqt
  1. 一种利用VGA显示器的彩条显示

  2. VGA彩条显示 clock:板子时钟,20MHZ; clock50M:输入时钟,采用50MHZ; key:彩条选择信号,每按一下换一种显示模式,显示模式为:横彩条,竖彩条,棋盘格; rgb:输出三基色; hs:行同步信号; vs:场同步信号;
  3. 所属分类:嵌入式

    • 发布日期:2011-08-06
    • 文件大小:512000
    • 提供者:danlion
  1. 基于NiosⅡ的VGA彩条信号显示的实现

  2. VGA 作为一种标准的显示接口得到广泛的应用。依据VGA 显示的原理,提出了一种基于Nios Ⅱ处理器的VGA 时序彩条信号显示的实现方法。利用FPGA 产生VGA 时序信号,Nios Ⅱ处理器控制输出彩条的颜色,按键控制彩条的输出模式,将要显示信号的数据直接送到显示器,这样就加快了数据处理速度,节约了硬件成本。
  3. 所属分类:其它

    • 发布日期:2020-08-28
    • 文件大小:217088
    • 提供者:weixin_38625192
  1. 显示/光电技术中的基于NiosⅡ的VGA彩条信号显示的实现

  2. 摘 要:VGA 作为一种标准的显示接口得到广泛的应用。依据VGA 显示的原理,提出了一种基于Nios Ⅱ处理器的VGA 时序彩条信号显示的实现方法。利用FPGA 产生VGA 时序信号,Nios Ⅱ处理器控制输出彩条的颜色,按键控制彩条的输出模式,将要显示信号的数据直接送到显示器,这样就加快了数据处理速度,节约了硬件成本。   关键词: FPGA ;Nios Ⅱ;VGA ;彩条信号   1  引 言   Altera 开发了整套工具(包括SOPC Builder ,Nios Ⅱ集成设计环境和
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:190464
    • 提供者:weixin_38709312
  1. EDA/PLD中的基于FPGA的VGA时序彩条信号设计实现

  2. 0 引 言   利用现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。计算机显示器的显示有许多标准,常见的有VGA,SVGA等。在这里尝试用FPGA实现 VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的下载验证,最终在CRT显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。   1 VG
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:284672
    • 提供者:weixin_38708361
  1. 基于FPGA的VGA时序彩条信号设计实现

  2. 0 引 言   利用现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。计算机显示器的显示有许多标准,常见的有VGA,SVGA等。在这里尝试用FPGA实现 VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的验证,终在CRT显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。   1 VGA显示
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:408576
    • 提供者:weixin_38628310
  1. 基于NiosⅡ的VGA彩条信号显示的实现

  2. 摘 要:VGA 作为一种标准的显示接口得到广泛的应用。依据VGA 显示的原理,提出了一种基于Nios Ⅱ处理器的VGA 时序彩条信号显示的实现方法。利用FPGA 产生VGA 时序信号,Nios Ⅱ处理器控制输出彩条的颜色,按键控制彩条的输出模式,将要显示信号的数据直接送到显示器,这样就加快了数据处理速度,节约了硬件成本。   关键词: FPGA ;Nios Ⅱ;VGA ;彩条信号   1  引 言   Altera 开发了整套工具(包括SOPC Builder ,Nios Ⅱ集成设计环境和
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:218112
    • 提供者:weixin_38500944