您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. fpga教程 关于vhdl的学习的简单教程

  2. 基于vhdl的设计教程 适合初学者 希望对大家有用
  3. 所属分类:硬件开发

    • 发布日期:2009-07-16
    • 文件大小:1048576
    • 提供者:aa594534650
  1. 电子钟VHDL课程设计

  2. 基于VHDL的电子钟程序,是数字逻辑课程设计
  3. 所属分类:专业指导

    • 发布日期:2009-09-11
    • 文件大小:3072
    • 提供者:arbel
  1. VHDL程序设计资料(英文版)

  2. VHDL程序设计的资料,不过是英文版的,看起来麻烦了一些,希望对大家有用
  3. 所属分类:专业指导

    • 发布日期:2009-09-13
    • 文件大小:1048576
    • 提供者:zhumiaotank
  1. 数字频率计的VHDL程序设计,设计报告

  2. 数字频率计的VHDL程序设计.rar数字频率计的VHDL程序设计.rar
  3. 所属分类:专业指导

    • 发布日期:2009-10-08
    • 文件大小:35840
    • 提供者:yuhai9269
  1. 基于VHDL的乒乓游戏机的设计

  2. 摘 要 VHDL是甚高速集成电路硬件描述语言。目前,VHDL已成为许多设计自动化工具普遍采用的标准化硬件描述语言。VHDL语言功能性强,覆盖面广,灵活性高,具有很好的实用性。本文设计一个基于VHDL的乒乓游戏机,乒乓游戏机由状态机、记分器、译码显示器与按键去抖等部分所组成。通过对各部分编写VHDL程序,然后进行编译、仿真、逻辑综合、逻辑适配,最后进行编程下载,并且通过GW48型EDA实验箱的验证,实现乒乓游戏机的基本功能。 关键词:VHDL;GW48;乒乓游戏机 Abstract VHDL i
  3. 所属分类:嵌入式

    • 发布日期:2009-10-16
    • 文件大小:526336
    • 提供者:abner86622
  1. 基于VHDL语言的数字频率计的设计与仿真

  2. 提供给喜欢用VHDL语言的朋友,基于VHDL语言的数字频率计的设计与仿真
  3. 所属分类:嵌入式

    • 发布日期:2009-11-19
    • 文件大小:217088
    • 提供者:pita5389
  1. 课程设计:六十进制计数器的设计

  2. 课程设计:六十进制计数器的设计 实验目的 1.进一步掌握VHDL语言中元件例化语句的使用 2.通过本实验,巩固利用VHDL语言进行EDA设计的流程
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:88064
    • 提供者:lhl8787
  1. 用VHDL语言设计交通灯控制电路

  2. 本课程设计主要在实验板上构造一个交通灯控制电路,能够控制十字路口的交通灯显示,用红黄绿三种灯型指示交通的程序设计。在课程设计中,系统开发平台为MAX+PLUS II,程序设计输入方法为VHDL的文本输入法设计,程序运行平台为Windows 98/2000/XP。在整个课程设计中运用了状态转移表、状态转移图、系统框图以及电路顶层图来确定程序设计思路,根据交通灯控制逻辑完成程序的设计。程序通过调试能够运行,仿真结果符合程序要实现的功能,下载到EDA实验箱初步实现了设计目标,并且经过适当完善后,可以
  3. 所属分类:交通

    • 发布日期:2010-01-25
    • 文件大小:365568
    • 提供者:chenlu152002
  1. BCD计数器的设计 VHDL代码

  2. BCD计数器的设计 VHDL代码 简单,包含源代码及原理图
  3. 所属分类:其它

    • 发布日期:2010-03-13
    • 文件大小:70656
    • 提供者:ouyangmark
  1. 基于VHDL的数字电压表设计及实现

  2. 基于VHDL的数字电压表设计及实现 基于VHDL的数字电压表设计及实现 基于VHDL的数字电压表设计及实现
  3. 所属分类:专业指导

    • 发布日期:2010-03-21
    • 文件大小:205824
    • 提供者:ltq05
  1. 毕业设计 用VHDL语言实现异步通信接口的设计

  2. 用VHDL语言实现异步通信接口的设计.doc
  3. 所属分类:专业指导

    • 发布日期:2010-03-29
    • 文件大小:462848
    • 提供者:a2811675
  1. 用VHDL语言设计组合逻辑电路

  2. 实验4:用VHDL语言设计组合逻辑电路(熟悉用VHDL语言设计4位全加器的方法。首先创建一个1位全加器实体,然后例化此1位全加器4次,创建一个更高层次的4位加法器。1位全加器的VHDL语言描述见例4-45,4位加法器的VHDL语言程序如例4-46,P161-162。)
  3. 所属分类:专业指导

    • 发布日期:2010-04-03
    • 文件大小:1048576
    • 提供者:vb123jia
  1. 单总线,微程序控制方式,直接寻址的8位模型机的设计

  2. 包括具体的实验报告,详细说明。流程图以及仿真截图,总结等。 报告具体步骤: 1。课程设计目的 2. 开发工具选择 3. 方案选择 4.指令系统设计 5. 模型机框图设计 6. 微指令格式的设计 7. 微程序流程图 8. VHDL程序代码 9. 调试仿真 10. 课程设计回顾总结
  3. 所属分类:嵌入式

    • 发布日期:2010-04-30
    • 文件大小:683008
    • 提供者:zxc12345678zxc
  1. EDA数字钟vhdl的设计

  2. EDA数字钟vhdl的设计,经过硬件测试过的
  3. 所属分类:专业指导

    • 发布日期:2010-05-14
    • 文件大小:319488
    • 提供者:swq0705071040
  1. 基于VHDL的CRC编解码器

  2. CRC编解码器,基于VHDL的设计。循环冗余校验(CRC)模块设计,仿真实现。16位理论。。。8位实验!
  3. 所属分类:嵌入式

    • 发布日期:2010-05-18
    • 文件大小:354304
    • 提供者:tyt2009
  1. 各种论文收集及杂料\基于VHDL的数字时钟设计

  2. 各种论文收集及杂料\基于VHDL的数字时钟设计
  3. 所属分类:专业指导

    • 发布日期:2010-05-29
    • 文件大小:84992
    • 提供者:zhangmangui
  1. 利用FPGA中的VHDL语言设计的数字钟

  2. 利用FPGA中的VHDL语言设计的数字钟 利用FPGA中的VHDL语言设计的数字钟
  3. 所属分类:硬件开发

  1. 使用VHDL语言设计FPGA的几个常见问题的探讨

  2. 本文是关于使用VHDL语言设计FPGA的几个常见问题的探讨,详细讨论了在MAX plus II 开发平台下使用VHDL 硬件描述语言设计现场可编程门阵列(FPGA) 时常见的三个问题: 等占空比分频电路、延时任意量的延时电路、双向电路。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-16
    • 文件大小:241664
    • 提供者:ncby0908
  1. :介绍了用EDA 技术设计电子电路的特点,并与传统的设计方法做了比较,描述了运用EDA 设计工

  2. :介绍了用EDA 技术设计电子电路的特点,并与传统的设计方法做了比较,描述了运用EDA 设计工 具VHDL 硬件描述语言设计函数信号发生器的过程,给出了程序代码和仿真波形
  3. 所属分类:嵌入式

    • 发布日期:2010-06-21
    • 文件大小:8388608
    • 提供者:MENGDALOU
  1. 华中科技大学,数字电路实验,常用中规模集成电路VHDL的设计

  2. 华中科技大学,数字电路实验,常用中规模集成电路VHDL的设计
  3. 所属分类:专业指导

    • 发布日期:2014-03-08
    • 文件大小:204800
    • 提供者:wangchenmin_
« 12 3 4 5 6 7 8 9 10 ... 50 »